SlideShare a Scribd company logo
                   Electronic Design Automation                                          &                        The Concept behind VHDL Prof. Anish Goel
Contents Milestones for IC Industry Abstraction levels of VLSI design Digital System Design Application Specific Integrated Circuits (ASIC’s) Function Implementation using PLA Electronic Design Automation Hardware Description Language (VHDL) Simulation & Synthesis Basics of CPLD and FPGA 2 Electronic Design Automation                                                     Anish Goel
Milestones for IC Industry 1947: Bardeen, Brattain & Shockly invented the transistor, foundation of the IC industry. 1952: SONY introduced the first transistor-based radio. 1958: Kilby invented integrated circuits (ICs). 1965: Moore’s law. 1968: Noyce and Moore founded Intel. 1970: Intel introduced 1 K DRAM. 3 Electronic Design Automation                                                     Anish Goel
Milestones for IC Industry 1971: Intel announced 4-bit 4004 microprocessors (2250 transistors). 1976/81: Apple II/IBM PC. 1984: Xilinx invented FPGA’s. 1985: Intel began focusing on microprocessor products. 1987: TSMC was founded (fabless IC design). 1991: ARM introduced its first embeddable RISC IP core (chipless IC design). 4 Electronic Design Automation                                                     Anish Goel
Milestones for IC Industry (Cont’d) 1996: Samsung introduced IG DRAM. 1998: IBM announces1GHz experimental microprocessor. 1999/earlier: System-on-Chip (SOC) applications. 2002/earlier: System-in-Package (SIP) technology. An Intel P4 processor contains 42 million transistors (1 billion by 2005) Today, we produce ~ 1 billion transistors per person Semiconductor/IC: #1 key field for advancing into 2000 (Business Week, Jan. 1995). 5 Electronic Design Automation                                                     Anish Goel
From Wafer to Chip 6 Electronic Design Automation                                                     Anish Goel
Abstraction levels of VLSI design 7 Electronic Design Automation                                                     Anish Goel
Digital System Design Several conflicting considerations: Design Complexity: large number of devices/transistors Performance: optimization requirements for high performance Time-to-market: about a 15% gain for early birds Cost: die area, packaging, testing, etc. Others: power, signal integrity (noise, etc), testability,    reliability, manufacturability, etc. 8 Electronic Design Automation                                                     Anish Goel
What is an ASIC ?  What is an Integrated Circuit (IC)?  ICs are basically “chips” 	 Silicon Wafers 	Transistors, resistors, capacitors fabricated  Can be either Digital or Analog  Microprocessors, Amplifier, Memory ASICs are Application Specific ICs  Designed for a special application  ASICs may be customized or mass produced  Digital to Audio Converter  Mpeg2 Decoder 9 Electronic Design Automation                                                     Anish Goel
SSI/SPLD Design Style 10 Electronic Design Automation                                                     Anish Goel
Let’s Start from the Basics… Programmable Logic Arrays (PLA) A PLA is a general circuit that can be programmed to implement any Boolean function. This is based on the fact that a Boolean function can be expressed in a sum of product (SOP) form Example: A 3 input PLA 11 Electronic Design Automation                                                     Anish Goel
3 Input PLA… Inputs OR array AND array Outputs Implement  f1 = A.B + A.C + B.C And  f2 = A. B.C Using the given PLA circuit  12 Electronic Design Automation                                                     Anish Goel
Function Implementation using PLA.. Inputs OR array AND array Outputs A B C f1 f2 13 Electronic Design Automation                                                     Anish Goel
Abstraction Abstraction: when looking at a certain level, you don’t need to know all details of the lower levels. Design domains: Behavioral: black box view Structural: interconnection of sub-blocks Physical: layout properties Each design domain has its own hierarchy. 14 Electronic Design Automation                                                     Anish Goel
Electronic Design Automation (EDA) Electronic Design Automation (EDA): (Short Definition) The use of software to automate electronic (digital and analog) design. Electronic Design Automation (EDA) (Longer Definition) Electronic design in which the design is entered using design capture tools or using a text editor and a hardware description language possibly consisting of “parts” from a vendor's library 15 Electronic Design Automation                                                     Anish Goel
Hardware Description Language VHDL (VHSIC Hardware Description Language) Widely used in industry. Ada-like syntax. (Ada is a DoD-developed language for large embedded systems.) Developed as part of U.S. Department of Defense (DoD) VHSIC program in 1983 Became IEEE standard 1076 in 1987. Standard updated in 1993. 16 Electronic Design Automation                                                     Anish Goel
Synthesis Design Target 	Design Target: The type of device to be manufactured or programmed. Synthesis programs generate output for a particular design target. Design Targets Programmable Logic Array (PLA): Chip that can be programmed (once) to implement a logic function. Usually programmed at the factory. PLAs might be used in prototypes or when only a few parts are needed. Application-Specific Integrated Circuit (ASIC): A fully custom chip. Usually the fastest design target, can have the most components. 17 Electronic Design Automation                                                     Anish Goel
Overall Concept on VHDL VHDL is a Standard Language Standard in the electronic design community. VHDL will virtually guarantee that you will not have to throw away and re-capture design concepts simply because the design entry method you have chosen is not supported in a newer generation of design tools. Takes advantage of the most up-to-date design tools, and will have access to a knowledge-based of thousands of other engineers, many of who are solving problems similar to your own ( Model availability). Tool interoperability Design Documentation 18 Electronic Design Automation                                                     Anish Goel
Designing in VHDL 19 Electronic Design Automation                                                     Anish Goel
How to design a complex chip using VHDL… 20 Complex like: A microcontroller (maybe similar to 8051) Peripheral device (maybe similar to 8255 or 8251) Video encoder MP3 player Decide and design the architecture on paper. Implement the blocks of the chip using VHDL. Interconnect these blocks to form the complete architecture. This is called structural style of modeling. Electronic Design Automation                                                     Anish Goel
Blocks? Architecture? 21 Electronic Design Automation                                                     Anish Goel
Simple example.  22 Design and implement a 4:16 decoder using VHDL. VHDL code for 4:16 decoder. library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity decoder4to16 is 	Port ( D : in std_logic_vector(3 downto 0);  E : out std_logic_vector(15 downto 0);       F : in std_logic); end decoder4to16; ...... …….. ………. Electronic Design Automation                                                     Anish Goel
Simulation and Synthesis. 23 Verify the functionality of the designed circuit using software. (Simulation) ModelSim Max Plus –II Implement the functionality into a CPLD and FPGA and use it into your circuit or application. Xilinx ISE Quartus You can even purchase a processor that will be sent to you by email.  What ?? E-mail ?? Altera NIOS-2 Electronic Design Automation                                                     Anish Goel
VHDL for Simulation Purely behavioral Architectural: bus widths, number of processors Off-the-shelf components Test benches 24 Electronic Design Automation                                                     Anish Goel
VHDL for Synthesis RTL description => Synthesis Compiler => Gates (LSI, TI) Simulate RTL description for functionality Simulate gates for timing and to check synthesis Target a synthesis tool from the start Logic model, used to simulate ASIC in any environment 25 Electronic Design Automation                                                     Anish Goel
CPLD and FPGA… 26 PLA and PAL’s. PLD’s. SPLD’s. CPLD				FPGA. Electronic Design Automation                                                     Anish Goel
Complex Programmable Logic Device 27 CPLD architecture Small number of large PLDs on a single chip Programmable interconnect between PLDs Electronic Design Automation                                                     Anish Goel
Field Programmable Gate Array 28 FPGA architecture Much larger number of smaller programmable logic blocks. Embedded in a sea of lots and lots of programmable interconnects. Electronic Design Automation                                                     Anish Goel
System on chip 29 FPGA technology allows you to embed a processor, ROM, RAM, DSP, and any other block onto a single chip This is replacing a lot of Application Specific Integrated Circuit chips This has major advantages for electronics companies in terms of cost, reliability, reusability of intellectual property, and time to market Electronic Design Automation                                                     Anish Goel
Thank you !!! But wait… 30 This presentation is open source…. Log on to my website: www.discovermechatronics.blogspot.com You can view/download this presentation. You can brows my projects on this website. Electronic Design Automation                                                     Anish Goel

More Related Content

What's hot

Vlsi design flow
Vlsi design flowVlsi design flow
Vlsi design flow
Rajendra Kumar
 
System on Chip (SoC)
System on Chip (SoC)System on Chip (SoC)
System on Chip (SoC)
Dimas Ruliandi
 
SoC: System On Chip
SoC: System On ChipSoC: System On Chip
SoC: System On Chip
Santosh Verma
 
Lambda design rule
Lambda design ruleLambda design rule
Lambda design rule
Gowri Kishore
 
Pass Transistor Logic
Pass Transistor LogicPass Transistor Logic
Pass Transistor Logic
Sudhanshu Janwadkar
 
Dynamic logic circuits
Dynamic logic circuitsDynamic logic circuits
Dynamic logic circuits
Kalyan Kumar Kalita
 
System on chip buses
System on chip busesSystem on chip buses
System on chip buses
A B Shinde
 
System-on-Chip Design, Embedded System Design Challenges
System-on-Chip Design, Embedded System Design ChallengesSystem-on-Chip Design, Embedded System Design Challenges
System-on-Chip Design, Embedded System Design Challenges
pboulet
 
Fabrication steps of IC
Fabrication steps of ICFabrication steps of IC
Fabrication steps of IC
Gowri Kishore
 
VHDL
VHDLVHDL
Electronic Design Automation
Electronic Design AutomationElectronic Design Automation
Electronic Design Automation
CADD Centre
 
Introduction to EDA Tools
Introduction to EDA ToolsIntroduction to EDA Tools
Introduction to EDA Tools
venkatasuman1983
 
Dr.s.shiyamala fpga ppt
Dr.s.shiyamala  fpga pptDr.s.shiyamala  fpga ppt
Dr.s.shiyamala fpga ppt
SHIYAMALASUBRAMANI1
 
MULTI Threshold
MULTI ThresholdMULTI Threshold
MULTI Threshold
Sharif Raihan Kabir
 
SOC Interconnects: AMBA & CoreConnect
SOC Interconnects: AMBA  & CoreConnectSOC Interconnects: AMBA  & CoreConnect
SOC Interconnects: AMBA & CoreConnect
A B Shinde
 
System-on-Chip
System-on-ChipSystem-on-Chip
System-on-Chip
Lars Jacobs
 
Layouts
LayoutsLayouts
FPGA
FPGAFPGA
Hard ip based SoC design
Hard ip based SoC designHard ip based SoC design
Hard ip based SoC design
Vinchipsytm Vlsitraining
 
SRAM Design
SRAM DesignSRAM Design
SRAM Design
Bharat Biyani
 

What's hot (20)

Vlsi design flow
Vlsi design flowVlsi design flow
Vlsi design flow
 
System on Chip (SoC)
System on Chip (SoC)System on Chip (SoC)
System on Chip (SoC)
 
SoC: System On Chip
SoC: System On ChipSoC: System On Chip
SoC: System On Chip
 
Lambda design rule
Lambda design ruleLambda design rule
Lambda design rule
 
Pass Transistor Logic
Pass Transistor LogicPass Transistor Logic
Pass Transistor Logic
 
Dynamic logic circuits
Dynamic logic circuitsDynamic logic circuits
Dynamic logic circuits
 
System on chip buses
System on chip busesSystem on chip buses
System on chip buses
 
System-on-Chip Design, Embedded System Design Challenges
System-on-Chip Design, Embedded System Design ChallengesSystem-on-Chip Design, Embedded System Design Challenges
System-on-Chip Design, Embedded System Design Challenges
 
Fabrication steps of IC
Fabrication steps of ICFabrication steps of IC
Fabrication steps of IC
 
VHDL
VHDLVHDL
VHDL
 
Electronic Design Automation
Electronic Design AutomationElectronic Design Automation
Electronic Design Automation
 
Introduction to EDA Tools
Introduction to EDA ToolsIntroduction to EDA Tools
Introduction to EDA Tools
 
Dr.s.shiyamala fpga ppt
Dr.s.shiyamala  fpga pptDr.s.shiyamala  fpga ppt
Dr.s.shiyamala fpga ppt
 
MULTI Threshold
MULTI ThresholdMULTI Threshold
MULTI Threshold
 
SOC Interconnects: AMBA & CoreConnect
SOC Interconnects: AMBA  & CoreConnectSOC Interconnects: AMBA  & CoreConnect
SOC Interconnects: AMBA & CoreConnect
 
System-on-Chip
System-on-ChipSystem-on-Chip
System-on-Chip
 
Layouts
LayoutsLayouts
Layouts
 
FPGA
FPGAFPGA
FPGA
 
Hard ip based SoC design
Hard ip based SoC designHard ip based SoC design
Hard ip based SoC design
 
SRAM Design
SRAM DesignSRAM Design
SRAM Design
 

Viewers also liked

Pdms ppt
Pdms pptPdms ppt
Asic backend design
Asic backend designAsic backend design
Asic backend design
kbipeen
 
AWS for Semiconductor and Electronics Design | Hsinchu, April 10
AWS for Semiconductor and Electronics Design | Hsinchu, April 10AWS for Semiconductor and Electronics Design | Hsinchu, April 10
AWS for Semiconductor and Electronics Design | Hsinchu, April 10
Amazon Web Services
 
PCB DESIGNING & MANUFACTURING
PCB DESIGNING & MANUFACTURING PCB DESIGNING & MANUFACTURING
PCB DESIGNING & MANUFACTURING
prasanna kumar
 
Logic synthesis with synopsys design compiler
Logic synthesis with synopsys design compilerLogic synthesis with synopsys design compiler
Logic synthesis with synopsys design compilernaeemtayyab
 
AutoCad Electrical
AutoCad ElectricalAutoCad Electrical
AutoCad Electrical
rahul_9463
 
my ppt for autocad &autocad electrical
my ppt for autocad &autocad electricalmy ppt for autocad &autocad electrical
my ppt for autocad &autocad electrical
h soundarya
 
Computer Aided Design Powerpoint Project
Computer Aided Design Powerpoint ProjectComputer Aided Design Powerpoint Project
Computer Aided Design Powerpoint Projectcarolinabonill
 

Viewers also liked (11)

Vlsi design
Vlsi designVlsi design
Vlsi design
 
Free / Open Source EDA Tools
Free / Open Source EDA ToolsFree / Open Source EDA Tools
Free / Open Source EDA Tools
 
Pdms ppt
Pdms pptPdms ppt
Pdms ppt
 
Asic backend design
Asic backend designAsic backend design
Asic backend design
 
AWS for Semiconductor and Electronics Design | Hsinchu, April 10
AWS for Semiconductor and Electronics Design | Hsinchu, April 10AWS for Semiconductor and Electronics Design | Hsinchu, April 10
AWS for Semiconductor and Electronics Design | Hsinchu, April 10
 
ASIC DESIGN FLOW
ASIC DESIGN FLOWASIC DESIGN FLOW
ASIC DESIGN FLOW
 
PCB DESIGNING & MANUFACTURING
PCB DESIGNING & MANUFACTURING PCB DESIGNING & MANUFACTURING
PCB DESIGNING & MANUFACTURING
 
Logic synthesis with synopsys design compiler
Logic synthesis with synopsys design compilerLogic synthesis with synopsys design compiler
Logic synthesis with synopsys design compiler
 
AutoCad Electrical
AutoCad ElectricalAutoCad Electrical
AutoCad Electrical
 
my ppt for autocad &autocad electrical
my ppt for autocad &autocad electricalmy ppt for autocad &autocad electrical
my ppt for autocad &autocad electrical
 
Computer Aided Design Powerpoint Project
Computer Aided Design Powerpoint ProjectComputer Aided Design Powerpoint Project
Computer Aided Design Powerpoint Project
 

Similar to EDA

Digital VLSI Design and FPGA Implementation
Digital VLSI Design and FPGA ImplementationDigital VLSI Design and FPGA Implementation
Digital VLSI Design and FPGA ImplementationAmber Bhaumik
 
System On Chip
System On ChipSystem On Chip
System On Chipanishgoel
 
Vlsi
VlsiVlsi
K vector embedded_linux_workshop
K vector embedded_linux_workshopK vector embedded_linux_workshop
K vector embedded_linux_workshop
Keroles karam khalil
 
International Journal of Computational Engineering Research(IJCER)
International Journal of Computational Engineering Research(IJCER) International Journal of Computational Engineering Research(IJCER)
International Journal of Computational Engineering Research(IJCER)
ijceronline
 
FPGA_prototyping proccesing with conclusion
FPGA_prototyping proccesing with conclusionFPGA_prototyping proccesing with conclusion
FPGA_prototyping proccesing with conclusion
PersiPersi1
 
Using the Cypress PSoC Processor
Using the Cypress PSoC ProcessorUsing the Cypress PSoC Processor
Using the Cypress PSoC Processor
LloydMoore
 
Programmable ics
Programmable icsProgrammable ics
Programmable ics779061702
 
Introduction to Advanced embedded systems course
Introduction to Advanced embedded systems courseIntroduction to Advanced embedded systems course
Introduction to Advanced embedded systems courseanishgoel
 
soc design for dsp applications
soc design for dsp applicationssoc design for dsp applications
soc design for dsp applications
P V Krishna Mohan Gupta
 
0.FPGA for dummies: Historical introduction
0.FPGA for dummies: Historical introduction0.FPGA for dummies: Historical introduction
0.FPGA for dummies: Historical introduction
Maurizio Donna
 
Developing an avr microcontroller system
Developing an avr microcontroller systemDeveloping an avr microcontroller system
Developing an avr microcontroller system
nugnugmacmac
 
FPGA @ UPB-BGA
FPGA @ UPB-BGAFPGA @ UPB-BGA
FPGA @ UPB-BGA
Jose Pinilla
 
microprocessor
   microprocessor   microprocessor
microprocessor
ATTO RATHORE
 
Fel Flyer F11
Fel Flyer F11Fel Flyer F11
Fel Flyer F11
chitlesh
 
International Journal of Computational Engineering Research(IJCER)
International Journal of Computational Engineering Research(IJCER)International Journal of Computational Engineering Research(IJCER)
International Journal of Computational Engineering Research(IJCER)
ijceronline
 
Overview of the Intel® Internet of Things Developer Kit
Overview of the Intel® Internet of Things Developer KitOverview of the Intel® Internet of Things Developer Kit
Overview of the Intel® Internet of Things Developer Kit
Intel® Software
 
Advanced View of Atmega Microcontroller Projects List - ATMega32 AVR.pdf
Advanced View of Atmega Microcontroller Projects List - ATMega32 AVR.pdfAdvanced View of Atmega Microcontroller Projects List - ATMega32 AVR.pdf
Advanced View of Atmega Microcontroller Projects List - ATMega32 AVR.pdf
Ismailkhan77481
 

Similar to EDA (20)

Embedded system
Embedded systemEmbedded system
Embedded system
 
Digital VLSI Design and FPGA Implementation
Digital VLSI Design and FPGA ImplementationDigital VLSI Design and FPGA Implementation
Digital VLSI Design and FPGA Implementation
 
System On Chip
System On ChipSystem On Chip
System On Chip
 
Vlsi
VlsiVlsi
Vlsi
 
K vector embedded_linux_workshop
K vector embedded_linux_workshopK vector embedded_linux_workshop
K vector embedded_linux_workshop
 
International Journal of Computational Engineering Research(IJCER)
International Journal of Computational Engineering Research(IJCER) International Journal of Computational Engineering Research(IJCER)
International Journal of Computational Engineering Research(IJCER)
 
FPGA_prototyping proccesing with conclusion
FPGA_prototyping proccesing with conclusionFPGA_prototyping proccesing with conclusion
FPGA_prototyping proccesing with conclusion
 
Using the Cypress PSoC Processor
Using the Cypress PSoC ProcessorUsing the Cypress PSoC Processor
Using the Cypress PSoC Processor
 
Programmable ics
Programmable icsProgrammable ics
Programmable ics
 
Introduction to Advanced embedded systems course
Introduction to Advanced embedded systems courseIntroduction to Advanced embedded systems course
Introduction to Advanced embedded systems course
 
soc design for dsp applications
soc design for dsp applicationssoc design for dsp applications
soc design for dsp applications
 
0.FPGA for dummies: Historical introduction
0.FPGA for dummies: Historical introduction0.FPGA for dummies: Historical introduction
0.FPGA for dummies: Historical introduction
 
Developing an avr microcontroller system
Developing an avr microcontroller systemDeveloping an avr microcontroller system
Developing an avr microcontroller system
 
FPGA @ UPB-BGA
FPGA @ UPB-BGAFPGA @ UPB-BGA
FPGA @ UPB-BGA
 
microprocessor
   microprocessor   microprocessor
microprocessor
 
Fel Flyer F11
Fel Flyer F11Fel Flyer F11
Fel Flyer F11
 
International Journal of Computational Engineering Research(IJCER)
International Journal of Computational Engineering Research(IJCER)International Journal of Computational Engineering Research(IJCER)
International Journal of Computational Engineering Research(IJCER)
 
Michael Vogwell
Michael VogwellMichael Vogwell
Michael Vogwell
 
Overview of the Intel® Internet of Things Developer Kit
Overview of the Intel® Internet of Things Developer KitOverview of the Intel® Internet of Things Developer Kit
Overview of the Intel® Internet of Things Developer Kit
 
Advanced View of Atmega Microcontroller Projects List - ATMega32 AVR.pdf
Advanced View of Atmega Microcontroller Projects List - ATMega32 AVR.pdfAdvanced View of Atmega Microcontroller Projects List - ATMega32 AVR.pdf
Advanced View of Atmega Microcontroller Projects List - ATMega32 AVR.pdf
 

More from anishgoel

Computer Organization
Computer OrganizationComputer Organization
Computer Organization
anishgoel
 
Learning vhdl by examples
Learning vhdl by examplesLearning vhdl by examples
Learning vhdl by examples
anishgoel
 
Dot matrix module interface wit Raspberry Pi
Dot matrix module interface wit Raspberry PiDot matrix module interface wit Raspberry Pi
Dot matrix module interface wit Raspberry Pi
anishgoel
 
Input interface with Raspberry pi
Input interface with Raspberry piInput interface with Raspberry pi
Input interface with Raspberry pi
anishgoel
 
Learning Python for Raspberry Pi
Learning Python for Raspberry PiLearning Python for Raspberry Pi
Learning Python for Raspberry Pi
anishgoel
 
Raspberry Pi
Raspberry PiRaspberry Pi
Raspberry Pi
anishgoel
 
learning vhdl by examples
learning vhdl by exampleslearning vhdl by examples
learning vhdl by examples
anishgoel
 
Digital System Design Basics
Digital System Design BasicsDigital System Design Basics
Digital System Design Basics
anishgoel
 
digital design of communication systems
digital design of communication systemsdigital design of communication systems
digital design of communication systems
anishgoel
 
Rtos concepts
Rtos conceptsRtos concepts
Rtos concepts
anishgoel
 
8051 Microcontroller Timer
8051 Microcontroller Timer8051 Microcontroller Timer
8051 Microcontroller Timer
anishgoel
 
8051 Microcontroller I/O ports
8051 Microcontroller I/O ports8051 Microcontroller I/O ports
8051 Microcontroller I/O ports
anishgoel
 
Serial Communication Interfaces
Serial Communication InterfacesSerial Communication Interfaces
Serial Communication Interfaces
anishgoel
 
Embedded systems ppt iv part d
Embedded systems ppt iv   part dEmbedded systems ppt iv   part d
Embedded systems ppt iv part d
anishgoel
 
Embedded systems ppt iv part c
Embedded systems ppt iv   part cEmbedded systems ppt iv   part c
Embedded systems ppt iv part c
anishgoel
 
Embedded systems ppt iv part b
Embedded systems ppt iv   part bEmbedded systems ppt iv   part b
Embedded systems ppt iv part b
anishgoel
 
Embedded systems ppt ii
Embedded systems ppt iiEmbedded systems ppt ii
Embedded systems ppt ii
anishgoel
 
Embedded systems ppt iii
Embedded systems ppt iiiEmbedded systems ppt iii
Embedded systems ppt iii
anishgoel
 
Embedded systems ppt iv part a
Embedded systems ppt iv   part aEmbedded systems ppt iv   part a
Embedded systems ppt iv part a
anishgoel
 
Embedded systems ppt i
Embedded systems ppt iEmbedded systems ppt i
Embedded systems ppt i
anishgoel
 

More from anishgoel (20)

Computer Organization
Computer OrganizationComputer Organization
Computer Organization
 
Learning vhdl by examples
Learning vhdl by examplesLearning vhdl by examples
Learning vhdl by examples
 
Dot matrix module interface wit Raspberry Pi
Dot matrix module interface wit Raspberry PiDot matrix module interface wit Raspberry Pi
Dot matrix module interface wit Raspberry Pi
 
Input interface with Raspberry pi
Input interface with Raspberry piInput interface with Raspberry pi
Input interface with Raspberry pi
 
Learning Python for Raspberry Pi
Learning Python for Raspberry PiLearning Python for Raspberry Pi
Learning Python for Raspberry Pi
 
Raspberry Pi
Raspberry PiRaspberry Pi
Raspberry Pi
 
learning vhdl by examples
learning vhdl by exampleslearning vhdl by examples
learning vhdl by examples
 
Digital System Design Basics
Digital System Design BasicsDigital System Design Basics
Digital System Design Basics
 
digital design of communication systems
digital design of communication systemsdigital design of communication systems
digital design of communication systems
 
Rtos concepts
Rtos conceptsRtos concepts
Rtos concepts
 
8051 Microcontroller Timer
8051 Microcontroller Timer8051 Microcontroller Timer
8051 Microcontroller Timer
 
8051 Microcontroller I/O ports
8051 Microcontroller I/O ports8051 Microcontroller I/O ports
8051 Microcontroller I/O ports
 
Serial Communication Interfaces
Serial Communication InterfacesSerial Communication Interfaces
Serial Communication Interfaces
 
Embedded systems ppt iv part d
Embedded systems ppt iv   part dEmbedded systems ppt iv   part d
Embedded systems ppt iv part d
 
Embedded systems ppt iv part c
Embedded systems ppt iv   part cEmbedded systems ppt iv   part c
Embedded systems ppt iv part c
 
Embedded systems ppt iv part b
Embedded systems ppt iv   part bEmbedded systems ppt iv   part b
Embedded systems ppt iv part b
 
Embedded systems ppt ii
Embedded systems ppt iiEmbedded systems ppt ii
Embedded systems ppt ii
 
Embedded systems ppt iii
Embedded systems ppt iiiEmbedded systems ppt iii
Embedded systems ppt iii
 
Embedded systems ppt iv part a
Embedded systems ppt iv   part aEmbedded systems ppt iv   part a
Embedded systems ppt iv part a
 
Embedded systems ppt i
Embedded systems ppt iEmbedded systems ppt i
Embedded systems ppt i
 

Recently uploaded

Chapter -12, Antibiotics (One Page Notes).pdf
Chapter -12, Antibiotics (One Page Notes).pdfChapter -12, Antibiotics (One Page Notes).pdf
Chapter -12, Antibiotics (One Page Notes).pdf
Kartik Tiwari
 
Unit 8 - Information and Communication Technology (Paper I).pdf
Unit 8 - Information and Communication Technology (Paper I).pdfUnit 8 - Information and Communication Technology (Paper I).pdf
Unit 8 - Information and Communication Technology (Paper I).pdf
Thiyagu K
 
Thesis Statement for students diagnonsed withADHD.ppt
Thesis Statement for students diagnonsed withADHD.pptThesis Statement for students diagnonsed withADHD.ppt
Thesis Statement for students diagnonsed withADHD.ppt
EverAndrsGuerraGuerr
 
MASS MEDIA STUDIES-835-CLASS XI Resource Material.pdf
MASS MEDIA STUDIES-835-CLASS XI Resource Material.pdfMASS MEDIA STUDIES-835-CLASS XI Resource Material.pdf
MASS MEDIA STUDIES-835-CLASS XI Resource Material.pdf
goswamiyash170123
 
Overview on Edible Vaccine: Pros & Cons with Mechanism
Overview on Edible Vaccine: Pros & Cons with MechanismOverview on Edible Vaccine: Pros & Cons with Mechanism
Overview on Edible Vaccine: Pros & Cons with Mechanism
DeeptiGupta154
 
Synthetic Fiber Construction in lab .pptx
Synthetic Fiber Construction in lab .pptxSynthetic Fiber Construction in lab .pptx
Synthetic Fiber Construction in lab .pptx
Pavel ( NSTU)
 
Embracing GenAI - A Strategic Imperative
Embracing GenAI - A Strategic ImperativeEmbracing GenAI - A Strategic Imperative
Embracing GenAI - A Strategic Imperative
Peter Windle
 
Introduction to AI for Nonprofits with Tapp Network
Introduction to AI for Nonprofits with Tapp NetworkIntroduction to AI for Nonprofits with Tapp Network
Introduction to AI for Nonprofits with Tapp Network
TechSoup
 
Pride Month Slides 2024 David Douglas School District
Pride Month Slides 2024 David Douglas School DistrictPride Month Slides 2024 David Douglas School District
Pride Month Slides 2024 David Douglas School District
David Douglas School District
 
The French Revolution Class 9 Study Material pdf free download
The French Revolution Class 9 Study Material pdf free downloadThe French Revolution Class 9 Study Material pdf free download
The French Revolution Class 9 Study Material pdf free download
Vivekanand Anglo Vedic Academy
 
special B.ed 2nd year old paper_20240531.pdf
special B.ed 2nd year old paper_20240531.pdfspecial B.ed 2nd year old paper_20240531.pdf
special B.ed 2nd year old paper_20240531.pdf
Special education needs
 
Advantages and Disadvantages of CMS from an SEO Perspective
Advantages and Disadvantages of CMS from an SEO PerspectiveAdvantages and Disadvantages of CMS from an SEO Perspective
Advantages and Disadvantages of CMS from an SEO Perspective
Krisztián Száraz
 
"Protectable subject matters, Protection in biotechnology, Protection of othe...
"Protectable subject matters, Protection in biotechnology, Protection of othe..."Protectable subject matters, Protection in biotechnology, Protection of othe...
"Protectable subject matters, Protection in biotechnology, Protection of othe...
SACHIN R KONDAGURI
 
Supporting (UKRI) OA monographs at Salford.pptx
Supporting (UKRI) OA monographs at Salford.pptxSupporting (UKRI) OA monographs at Salford.pptx
Supporting (UKRI) OA monographs at Salford.pptx
Jisc
 
The Accursed House by Émile Gaboriau.pptx
The Accursed House by Émile Gaboriau.pptxThe Accursed House by Émile Gaboriau.pptx
The Accursed House by Émile Gaboriau.pptx
DhatriParmar
 
Biological Screening of Herbal Drugs in detailed.
Biological Screening of Herbal Drugs in detailed.Biological Screening of Herbal Drugs in detailed.
Biological Screening of Herbal Drugs in detailed.
Ashokrao Mane college of Pharmacy Peth-Vadgaon
 
CACJapan - GROUP Presentation 1- Wk 4.pdf
CACJapan - GROUP Presentation 1- Wk 4.pdfCACJapan - GROUP Presentation 1- Wk 4.pdf
CACJapan - GROUP Presentation 1- Wk 4.pdf
camakaiclarkmusic
 
Digital Artifact 2 - Investigating Pavilion Designs
Digital Artifact 2 - Investigating Pavilion DesignsDigital Artifact 2 - Investigating Pavilion Designs
Digital Artifact 2 - Investigating Pavilion Designs
chanes7
 
The basics of sentences session 5pptx.pptx
The basics of sentences session 5pptx.pptxThe basics of sentences session 5pptx.pptx
The basics of sentences session 5pptx.pptx
heathfieldcps1
 
Unit 2- Research Aptitude (UGC NET Paper I).pdf
Unit 2- Research Aptitude (UGC NET Paper I).pdfUnit 2- Research Aptitude (UGC NET Paper I).pdf
Unit 2- Research Aptitude (UGC NET Paper I).pdf
Thiyagu K
 

Recently uploaded (20)

Chapter -12, Antibiotics (One Page Notes).pdf
Chapter -12, Antibiotics (One Page Notes).pdfChapter -12, Antibiotics (One Page Notes).pdf
Chapter -12, Antibiotics (One Page Notes).pdf
 
Unit 8 - Information and Communication Technology (Paper I).pdf
Unit 8 - Information and Communication Technology (Paper I).pdfUnit 8 - Information and Communication Technology (Paper I).pdf
Unit 8 - Information and Communication Technology (Paper I).pdf
 
Thesis Statement for students diagnonsed withADHD.ppt
Thesis Statement for students diagnonsed withADHD.pptThesis Statement for students diagnonsed withADHD.ppt
Thesis Statement for students diagnonsed withADHD.ppt
 
MASS MEDIA STUDIES-835-CLASS XI Resource Material.pdf
MASS MEDIA STUDIES-835-CLASS XI Resource Material.pdfMASS MEDIA STUDIES-835-CLASS XI Resource Material.pdf
MASS MEDIA STUDIES-835-CLASS XI Resource Material.pdf
 
Overview on Edible Vaccine: Pros & Cons with Mechanism
Overview on Edible Vaccine: Pros & Cons with MechanismOverview on Edible Vaccine: Pros & Cons with Mechanism
Overview on Edible Vaccine: Pros & Cons with Mechanism
 
Synthetic Fiber Construction in lab .pptx
Synthetic Fiber Construction in lab .pptxSynthetic Fiber Construction in lab .pptx
Synthetic Fiber Construction in lab .pptx
 
Embracing GenAI - A Strategic Imperative
Embracing GenAI - A Strategic ImperativeEmbracing GenAI - A Strategic Imperative
Embracing GenAI - A Strategic Imperative
 
Introduction to AI for Nonprofits with Tapp Network
Introduction to AI for Nonprofits with Tapp NetworkIntroduction to AI for Nonprofits with Tapp Network
Introduction to AI for Nonprofits with Tapp Network
 
Pride Month Slides 2024 David Douglas School District
Pride Month Slides 2024 David Douglas School DistrictPride Month Slides 2024 David Douglas School District
Pride Month Slides 2024 David Douglas School District
 
The French Revolution Class 9 Study Material pdf free download
The French Revolution Class 9 Study Material pdf free downloadThe French Revolution Class 9 Study Material pdf free download
The French Revolution Class 9 Study Material pdf free download
 
special B.ed 2nd year old paper_20240531.pdf
special B.ed 2nd year old paper_20240531.pdfspecial B.ed 2nd year old paper_20240531.pdf
special B.ed 2nd year old paper_20240531.pdf
 
Advantages and Disadvantages of CMS from an SEO Perspective
Advantages and Disadvantages of CMS from an SEO PerspectiveAdvantages and Disadvantages of CMS from an SEO Perspective
Advantages and Disadvantages of CMS from an SEO Perspective
 
"Protectable subject matters, Protection in biotechnology, Protection of othe...
"Protectable subject matters, Protection in biotechnology, Protection of othe..."Protectable subject matters, Protection in biotechnology, Protection of othe...
"Protectable subject matters, Protection in biotechnology, Protection of othe...
 
Supporting (UKRI) OA monographs at Salford.pptx
Supporting (UKRI) OA monographs at Salford.pptxSupporting (UKRI) OA monographs at Salford.pptx
Supporting (UKRI) OA monographs at Salford.pptx
 
The Accursed House by Émile Gaboriau.pptx
The Accursed House by Émile Gaboriau.pptxThe Accursed House by Émile Gaboriau.pptx
The Accursed House by Émile Gaboriau.pptx
 
Biological Screening of Herbal Drugs in detailed.
Biological Screening of Herbal Drugs in detailed.Biological Screening of Herbal Drugs in detailed.
Biological Screening of Herbal Drugs in detailed.
 
CACJapan - GROUP Presentation 1- Wk 4.pdf
CACJapan - GROUP Presentation 1- Wk 4.pdfCACJapan - GROUP Presentation 1- Wk 4.pdf
CACJapan - GROUP Presentation 1- Wk 4.pdf
 
Digital Artifact 2 - Investigating Pavilion Designs
Digital Artifact 2 - Investigating Pavilion DesignsDigital Artifact 2 - Investigating Pavilion Designs
Digital Artifact 2 - Investigating Pavilion Designs
 
The basics of sentences session 5pptx.pptx
The basics of sentences session 5pptx.pptxThe basics of sentences session 5pptx.pptx
The basics of sentences session 5pptx.pptx
 
Unit 2- Research Aptitude (UGC NET Paper I).pdf
Unit 2- Research Aptitude (UGC NET Paper I).pdfUnit 2- Research Aptitude (UGC NET Paper I).pdf
Unit 2- Research Aptitude (UGC NET Paper I).pdf
 

EDA

  • 1. Electronic Design Automation & The Concept behind VHDL Prof. Anish Goel
  • 2. Contents Milestones for IC Industry Abstraction levels of VLSI design Digital System Design Application Specific Integrated Circuits (ASIC’s) Function Implementation using PLA Electronic Design Automation Hardware Description Language (VHDL) Simulation & Synthesis Basics of CPLD and FPGA 2 Electronic Design Automation Anish Goel
  • 3. Milestones for IC Industry 1947: Bardeen, Brattain & Shockly invented the transistor, foundation of the IC industry. 1952: SONY introduced the first transistor-based radio. 1958: Kilby invented integrated circuits (ICs). 1965: Moore’s law. 1968: Noyce and Moore founded Intel. 1970: Intel introduced 1 K DRAM. 3 Electronic Design Automation Anish Goel
  • 4. Milestones for IC Industry 1971: Intel announced 4-bit 4004 microprocessors (2250 transistors). 1976/81: Apple II/IBM PC. 1984: Xilinx invented FPGA’s. 1985: Intel began focusing on microprocessor products. 1987: TSMC was founded (fabless IC design). 1991: ARM introduced its first embeddable RISC IP core (chipless IC design). 4 Electronic Design Automation Anish Goel
  • 5. Milestones for IC Industry (Cont’d) 1996: Samsung introduced IG DRAM. 1998: IBM announces1GHz experimental microprocessor. 1999/earlier: System-on-Chip (SOC) applications. 2002/earlier: System-in-Package (SIP) technology. An Intel P4 processor contains 42 million transistors (1 billion by 2005) Today, we produce ~ 1 billion transistors per person Semiconductor/IC: #1 key field for advancing into 2000 (Business Week, Jan. 1995). 5 Electronic Design Automation Anish Goel
  • 6. From Wafer to Chip 6 Electronic Design Automation Anish Goel
  • 7. Abstraction levels of VLSI design 7 Electronic Design Automation Anish Goel
  • 8. Digital System Design Several conflicting considerations: Design Complexity: large number of devices/transistors Performance: optimization requirements for high performance Time-to-market: about a 15% gain for early birds Cost: die area, packaging, testing, etc. Others: power, signal integrity (noise, etc), testability, reliability, manufacturability, etc. 8 Electronic Design Automation Anish Goel
  • 9. What is an ASIC ? What is an Integrated Circuit (IC)? ICs are basically “chips” Silicon Wafers Transistors, resistors, capacitors fabricated Can be either Digital or Analog Microprocessors, Amplifier, Memory ASICs are Application Specific ICs Designed for a special application ASICs may be customized or mass produced Digital to Audio Converter Mpeg2 Decoder 9 Electronic Design Automation Anish Goel
  • 10. SSI/SPLD Design Style 10 Electronic Design Automation Anish Goel
  • 11. Let’s Start from the Basics… Programmable Logic Arrays (PLA) A PLA is a general circuit that can be programmed to implement any Boolean function. This is based on the fact that a Boolean function can be expressed in a sum of product (SOP) form Example: A 3 input PLA 11 Electronic Design Automation Anish Goel
  • 12. 3 Input PLA… Inputs OR array AND array Outputs Implement f1 = A.B + A.C + B.C And f2 = A. B.C Using the given PLA circuit 12 Electronic Design Automation Anish Goel
  • 13. Function Implementation using PLA.. Inputs OR array AND array Outputs A B C f1 f2 13 Electronic Design Automation Anish Goel
  • 14. Abstraction Abstraction: when looking at a certain level, you don’t need to know all details of the lower levels. Design domains: Behavioral: black box view Structural: interconnection of sub-blocks Physical: layout properties Each design domain has its own hierarchy. 14 Electronic Design Automation Anish Goel
  • 15. Electronic Design Automation (EDA) Electronic Design Automation (EDA): (Short Definition) The use of software to automate electronic (digital and analog) design. Electronic Design Automation (EDA) (Longer Definition) Electronic design in which the design is entered using design capture tools or using a text editor and a hardware description language possibly consisting of “parts” from a vendor's library 15 Electronic Design Automation Anish Goel
  • 16. Hardware Description Language VHDL (VHSIC Hardware Description Language) Widely used in industry. Ada-like syntax. (Ada is a DoD-developed language for large embedded systems.) Developed as part of U.S. Department of Defense (DoD) VHSIC program in 1983 Became IEEE standard 1076 in 1987. Standard updated in 1993. 16 Electronic Design Automation Anish Goel
  • 17. Synthesis Design Target Design Target: The type of device to be manufactured or programmed. Synthesis programs generate output for a particular design target. Design Targets Programmable Logic Array (PLA): Chip that can be programmed (once) to implement a logic function. Usually programmed at the factory. PLAs might be used in prototypes or when only a few parts are needed. Application-Specific Integrated Circuit (ASIC): A fully custom chip. Usually the fastest design target, can have the most components. 17 Electronic Design Automation Anish Goel
  • 18. Overall Concept on VHDL VHDL is a Standard Language Standard in the electronic design community. VHDL will virtually guarantee that you will not have to throw away and re-capture design concepts simply because the design entry method you have chosen is not supported in a newer generation of design tools. Takes advantage of the most up-to-date design tools, and will have access to a knowledge-based of thousands of other engineers, many of who are solving problems similar to your own ( Model availability). Tool interoperability Design Documentation 18 Electronic Design Automation Anish Goel
  • 19. Designing in VHDL 19 Electronic Design Automation Anish Goel
  • 20. How to design a complex chip using VHDL… 20 Complex like: A microcontroller (maybe similar to 8051) Peripheral device (maybe similar to 8255 or 8251) Video encoder MP3 player Decide and design the architecture on paper. Implement the blocks of the chip using VHDL. Interconnect these blocks to form the complete architecture. This is called structural style of modeling. Electronic Design Automation Anish Goel
  • 21. Blocks? Architecture? 21 Electronic Design Automation Anish Goel
  • 22. Simple example. 22 Design and implement a 4:16 decoder using VHDL. VHDL code for 4:16 decoder. library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity decoder4to16 is Port ( D : in std_logic_vector(3 downto 0); E : out std_logic_vector(15 downto 0); F : in std_logic); end decoder4to16; ...... …….. ………. Electronic Design Automation Anish Goel
  • 23. Simulation and Synthesis. 23 Verify the functionality of the designed circuit using software. (Simulation) ModelSim Max Plus –II Implement the functionality into a CPLD and FPGA and use it into your circuit or application. Xilinx ISE Quartus You can even purchase a processor that will be sent to you by email. What ?? E-mail ?? Altera NIOS-2 Electronic Design Automation Anish Goel
  • 24. VHDL for Simulation Purely behavioral Architectural: bus widths, number of processors Off-the-shelf components Test benches 24 Electronic Design Automation Anish Goel
  • 25. VHDL for Synthesis RTL description => Synthesis Compiler => Gates (LSI, TI) Simulate RTL description for functionality Simulate gates for timing and to check synthesis Target a synthesis tool from the start Logic model, used to simulate ASIC in any environment 25 Electronic Design Automation Anish Goel
  • 26. CPLD and FPGA… 26 PLA and PAL’s. PLD’s. SPLD’s. CPLD FPGA. Electronic Design Automation Anish Goel
  • 27. Complex Programmable Logic Device 27 CPLD architecture Small number of large PLDs on a single chip Programmable interconnect between PLDs Electronic Design Automation Anish Goel
  • 28. Field Programmable Gate Array 28 FPGA architecture Much larger number of smaller programmable logic blocks. Embedded in a sea of lots and lots of programmable interconnects. Electronic Design Automation Anish Goel
  • 29. System on chip 29 FPGA technology allows you to embed a processor, ROM, RAM, DSP, and any other block onto a single chip This is replacing a lot of Application Specific Integrated Circuit chips This has major advantages for electronics companies in terms of cost, reliability, reusability of intellectual property, and time to market Electronic Design Automation Anish Goel
  • 30. Thank you !!! But wait… 30 This presentation is open source…. Log on to my website: www.discovermechatronics.blogspot.com You can view/download this presentation. You can brows my projects on this website. Electronic Design Automation Anish Goel