SlideShare a Scribd company logo
1 of 34
SoC Design

Vinchip Systems
(a Design and Verification Company)

         Chennai.
Agenda

 1.Introduction

 2.SoC   Fundamentals
 3.SoC   Design flow
 4.Query   session
Introduction
    A system on chip (SoC) is an integrated circuit (IC) that integrates all
     components of a computer or other electronic system into a single chip.
    It may contain digital, analog, mixed-signal, and often radio-frequency functions
     all on a single chip substrate.
    A SoC design is a “product creation process” Which
    Starts at identifying the end-user needs (or system)
        Hardware
        Software
    Ends at delivering a product with enough functional satisfaction to overcome
     the payment from the end-user
SoC Fundamentals
   SoC is a collection of IP’s
   IP stands for Intellectual Property.
   That may be
       Soft IP
       Hard IP
   The design starts from the specification to fabrication through the integration of
    various IP’s
SoC Fundamentals
SoC Evaluation
Board to Chip
SoC Architecture
SoC Architecture
   Hardware:
       Analog: ADC, DAC, PLL, TxRx, RF…etc.
       Digital: Processor, Interface, Accelerator…etc.
       Storage: SRAM, DRAM, FLASH, ROM…etc.
   Software: OS, Application
SoC Device
SoC Design Considerations

   Architecture strategy
   Design-for-test strategy
   Validation strategy
   Synthesis and backend strategy
   Integration strategy
Need of SoC
Growth of the Technology
Architecture Strategy
   Central processing core
   DSP cores
   On chip bus
   Easy plug-and-play IPs
   I/O, peripherals
   Platform-based design methodology
       Parameterization
       Function partition
Alternative Computing Subsystem
Control-dominated subsystem.
    controls & coordinates

       system tasks
    performs reactive tasks

      (e.g. user interface)
Data-dominated Subsystem
    regular & predictable

    transformational tasks
    well-defined DSP kernels

   with high parallelism
SOC Complexity / Abstraction
Conquer the SoC Complexity
   Use a known real entity
       A pre-designed component (IP, VC reuse)
       A platform (architecture reuse)
   Partition
       Based on functionality
       Hardware and software
   Modeling
       At different level
       Consistent and accurate
What is IP?
   Intellectual Property (IP)
       Intellectual Property means products, technology, software, etc. that have
        been protected through patents, copyrights, or trade secrets.
   Virtual Component (VC)
       A block that meets the Virtual Socket Interface Specification and is used as a
        component in the Virtual Socket design environment. Virtual Components can
        be of three forms Soft, Firm, or Hard.
   Also named mega function, macro block, reusable component
SoC and SIP
   System-on-Chip (SoC)
   Semiconductor Intellectual Property (IP)
       Also known as cores, virtual components
        (VCs)
       Memory, processors, DSPs, I/O, peripherals
   SoC = Σ IPs ?
Core (IP)-Based Design
IP, VC, PE, FU, …
   Memory controller
   Interrupt controller
   Power management controller
   Internal memories
   Bridges
   Caches
   Other functions
Hard, Soft, Firm IPs
   Hard core
     Large logic circuits
     An ART
     E.g. ARM core
   Soft core
     Tiny logic circuits
     Synthesize layout using standard cells with ASIC flow
     E.g. IPs
   Firm core
     Medium logic circuits
     Need tight integration with custom cells
     ile-based layout like Hard core
     E.g. FPGA CAD tools
Types of IP
Differences in Design Between
IC and IP
   Limitation of IC design
     Number of I/O pin

     Design and Implement all the functionality in the silicon

   Soft IP
     No limitation on number of I/O pin

     Parameterized IP Design: design all the functionality in HDL code

     but implement desired parts in the silicon

     IP compiler/Generator: select what you want !!

     More high level auxiliary tools to verify design

     More difficult in chip-level verification

   Hard IP
     No limitation on number of I/O pin

     Provide multiple level abstract model

     Design and Implement all the functionality in the layout
IP Value

 Foundation    IP – Cell, MegaCell
 Star   IP – ARM ( low power )
 Niche   IP – JPEG, MPEGII, TV, Filter
 Standard    IP – USB, IEEE1394, ADC, DAC
IP Sources
   Legacy IP
       from previous IC
   New IP
       specifically designed for reuse
   Licensed IP
       from IP vendors
Why IP ?
   Don’t know how to do it
   Cannot wait for new in-house development
   Standard/Compatibility calls for it
       PCI, USB, IEEE1394, Bluetooth
       Software compatibility
   Configurable
SoC: A Finer View
   SoC = ∫ (IPs + Platform)
   Platform, or Semiconductor Infrastructure IP
   Interconnect/Inter-block communication
   Performance optimization
   Test
   Diagnosis
   Repair
   Power management
On-Chip-Bus, OCB
   Requirements
       Have to connect many local IPs
       Heterogeneous traffic
       Scalable capability
       QoS
   Types
       Wire (zero hop)
       Bus (single hop)
       Switch, router (multi-hop)
       Circuit-switched
       Packet-switched
Example: ARM OCB - AMBA
   Advanced Microcontroller Bus Architecture (AMBA)
   AMBA 2.0 specifies
       The Advanced High-performance Bus (AHB)
       The Advanced System Bus (ASB)
       The Advanced Peripheral Bus (APB)
       Test methodology
Example-Set Top Box Controller
IBM’s SoC
Generic Wireless / Computing
Emotion Engine in PS2

More Related Content

What's hot

System On Chip
System On ChipSystem On Chip
System On Chipanishgoel
 
SOC Processors Used in SOC
SOC Processors Used in SOCSOC Processors Used in SOC
SOC Processors Used in SOCA B Shinde
 
System-on-Chip Design, Embedded System Design Challenges
System-on-Chip Design, Embedded System Design ChallengesSystem-on-Chip Design, Embedded System Design Challenges
System-on-Chip Design, Embedded System Design Challengespboulet
 
Soc - Intro, Design Aspects, HLS, TLM
Soc - Intro, Design Aspects, HLS, TLMSoc - Intro, Design Aspects, HLS, TLM
Soc - Intro, Design Aspects, HLS, TLMSubhash Iyer
 
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...VLSI SYSTEM Design
 
Study of inter and intra chip variations
Study of inter and intra chip variationsStudy of inter and intra chip variations
Study of inter and intra chip variationsRajesh M
 
ASIC design Flow (Digital Design)
ASIC design Flow (Digital Design)ASIC design Flow (Digital Design)
ASIC design Flow (Digital Design)Sudhanshu Janwadkar
 
Physical design-complete
Physical design-completePhysical design-complete
Physical design-completeMurali Rai
 
vlsi design flow
vlsi design flowvlsi design flow
vlsi design flowAnish Gupta
 

What's hot (20)

SOC Design Challenges and Practices
SOC Design Challenges and PracticesSOC Design Challenges and Practices
SOC Design Challenges and Practices
 
System On Chip
System On ChipSystem On Chip
System On Chip
 
SOC Processors Used in SOC
SOC Processors Used in SOCSOC Processors Used in SOC
SOC Processors Used in SOC
 
System-on-Chip Design, Embedded System Design Challenges
System-on-Chip Design, Embedded System Design ChallengesSystem-on-Chip Design, Embedded System Design Challenges
System-on-Chip Design, Embedded System Design Challenges
 
ASIC DESIGN FLOW
ASIC DESIGN FLOWASIC DESIGN FLOW
ASIC DESIGN FLOW
 
System-on-Chip
System-on-ChipSystem-on-Chip
System-on-Chip
 
Soc - Intro, Design Aspects, HLS, TLM
Soc - Intro, Design Aspects, HLS, TLMSoc - Intro, Design Aspects, HLS, TLM
Soc - Intro, Design Aspects, HLS, TLM
 
Floor plan & Power Plan
Floor plan & Power Plan Floor plan & Power Plan
Floor plan & Power Plan
 
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
 
Basics of vlsi
Basics of vlsiBasics of vlsi
Basics of vlsi
 
Session 2,3 FPGAs
Session 2,3 FPGAsSession 2,3 FPGAs
Session 2,3 FPGAs
 
Vlsi design flow
Vlsi design flowVlsi design flow
Vlsi design flow
 
ASIC vs SOC vs FPGA
ASIC  vs SOC  vs FPGAASIC  vs SOC  vs FPGA
ASIC vs SOC vs FPGA
 
Study of inter and intra chip variations
Study of inter and intra chip variationsStudy of inter and intra chip variations
Study of inter and intra chip variations
 
Study of vlsi design methodologies and limitations using cad tools for cmos t...
Study of vlsi design methodologies and limitations using cad tools for cmos t...Study of vlsi design methodologies and limitations using cad tools for cmos t...
Study of vlsi design methodologies and limitations using cad tools for cmos t...
 
ASIC design Flow (Digital Design)
ASIC design Flow (Digital Design)ASIC design Flow (Digital Design)
ASIC design Flow (Digital Design)
 
Physical design-complete
Physical design-completePhysical design-complete
Physical design-complete
 
Vlsi
VlsiVlsi
Vlsi
 
Introduction to EDA Tools
Introduction to EDA ToolsIntroduction to EDA Tools
Introduction to EDA Tools
 
vlsi design flow
vlsi design flowvlsi design flow
vlsi design flow
 

Viewers also liked

KaiSemi - FPGA to ASIC Conversions
KaiSemi  - FPGA to ASIC ConversionsKaiSemi  - FPGA to ASIC Conversions
KaiSemi - FPGA to ASIC Conversionskaisemi
 
System on Chip (SoC) for mobile phones
System on Chip (SoC) for mobile phonesSystem on Chip (SoC) for mobile phones
System on Chip (SoC) for mobile phonesJeffrey Funk
 
Programmable asic i/o cells
Programmable asic i/o cellsProgrammable asic i/o cells
Programmable asic i/o cellsYalagoud Patil
 
Hard IP Core design | Convolution Encoder
Hard IP Core design | Convolution EncoderHard IP Core design | Convolution Encoder
Hard IP Core design | Convolution EncoderArchit Vora
 
Synthesis & FPGA Implementation of UART IP Soft Core
Synthesis & FPGA Implementation of UART IP Soft CoreSynthesis & FPGA Implementation of UART IP Soft Core
Synthesis & FPGA Implementation of UART IP Soft Coreijsrd.com
 
Wishbone classic bus cycle
Wishbone classic bus cycleWishbone classic bus cycle
Wishbone classic bus cycledennis gookyi
 
Nios2 and ip core
Nios2 and ip coreNios2 and ip core
Nios2 and ip coreanishgoel
 
Wishbone interface and bus cycles
Wishbone interface and bus cyclesWishbone interface and bus cycles
Wishbone interface and bus cyclesdennis gookyi
 
Efficiency Through Methodology
Efficiency Through MethodologyEfficiency Through Methodology
Efficiency Through MethodologyDVClub
 
System on chip buses
System on chip busesSystem on chip buses
System on chip busesA B Shinde
 
SoC~FPGA~ASIC~Embedded
SoC~FPGA~ASIC~EmbeddedSoC~FPGA~ASIC~Embedded
SoC~FPGA~ASIC~EmbeddedChili.CHIPS
 
The comparison between FPGA , ARDUINO , ASIC
The comparison between FPGA , ARDUINO , ASIC The comparison between FPGA , ARDUINO , ASIC
The comparison between FPGA , ARDUINO , ASIC Mohamed Youssery
 
DSP architecture
DSP architectureDSP architecture
DSP architecturejstripinis
 
SOC System Design Approach
SOC System Design ApproachSOC System Design Approach
SOC System Design ApproachA B Shinde
 
India Quiz at IIT Kanpur - March 2013
India Quiz at IIT Kanpur - March 2013India Quiz at IIT Kanpur - March 2013
India Quiz at IIT Kanpur - March 2013Ramanand J
 
IIT Kanpur - Antaragni 2013 - India Quiz - Prelims (with Answers)
IIT Kanpur - Antaragni 2013 - India Quiz - Prelims (with Answers)IIT Kanpur - Antaragni 2013 - India Quiz - Prelims (with Answers)
IIT Kanpur - Antaragni 2013 - India Quiz - Prelims (with Answers)Ramanand J
 
India Quiz Prelims
India Quiz Prelims India Quiz Prelims
India Quiz Prelims Rohit Bhat
 
India Quiz-NUTech-2016,Nirma University
India Quiz-NUTech-2016,Nirma UniversityIndia Quiz-NUTech-2016,Nirma University
India Quiz-NUTech-2016,Nirma UniversityMANTRARAJ NAIK
 

Viewers also liked (20)

KaiSemi - FPGA to ASIC Conversions
KaiSemi  - FPGA to ASIC ConversionsKaiSemi  - FPGA to ASIC Conversions
KaiSemi - FPGA to ASIC Conversions
 
System on Chip (SoC) for mobile phones
System on Chip (SoC) for mobile phonesSystem on Chip (SoC) for mobile phones
System on Chip (SoC) for mobile phones
 
Programmable asic i/o cells
Programmable asic i/o cellsProgrammable asic i/o cells
Programmable asic i/o cells
 
Hard IP Core design | Convolution Encoder
Hard IP Core design | Convolution EncoderHard IP Core design | Convolution Encoder
Hard IP Core design | Convolution Encoder
 
Synthesis & FPGA Implementation of UART IP Soft Core
Synthesis & FPGA Implementation of UART IP Soft CoreSynthesis & FPGA Implementation of UART IP Soft Core
Synthesis & FPGA Implementation of UART IP Soft Core
 
Wishbone classic bus cycle
Wishbone classic bus cycleWishbone classic bus cycle
Wishbone classic bus cycle
 
Nios2 and ip core
Nios2 and ip coreNios2 and ip core
Nios2 and ip core
 
Wishbone interface and bus cycles
Wishbone interface and bus cyclesWishbone interface and bus cycles
Wishbone interface and bus cycles
 
Efficiency Through Methodology
Efficiency Through MethodologyEfficiency Through Methodology
Efficiency Through Methodology
 
System on chip buses
System on chip busesSystem on chip buses
System on chip buses
 
Chap1 intro 1pp
Chap1 intro 1ppChap1 intro 1pp
Chap1 intro 1pp
 
DSP Processor
DSP Processor DSP Processor
DSP Processor
 
SoC~FPGA~ASIC~Embedded
SoC~FPGA~ASIC~EmbeddedSoC~FPGA~ASIC~Embedded
SoC~FPGA~ASIC~Embedded
 
The comparison between FPGA , ARDUINO , ASIC
The comparison between FPGA , ARDUINO , ASIC The comparison between FPGA , ARDUINO , ASIC
The comparison between FPGA , ARDUINO , ASIC
 
DSP architecture
DSP architectureDSP architecture
DSP architecture
 
SOC System Design Approach
SOC System Design ApproachSOC System Design Approach
SOC System Design Approach
 
India Quiz at IIT Kanpur - March 2013
India Quiz at IIT Kanpur - March 2013India Quiz at IIT Kanpur - March 2013
India Quiz at IIT Kanpur - March 2013
 
IIT Kanpur - Antaragni 2013 - India Quiz - Prelims (with Answers)
IIT Kanpur - Antaragni 2013 - India Quiz - Prelims (with Answers)IIT Kanpur - Antaragni 2013 - India Quiz - Prelims (with Answers)
IIT Kanpur - Antaragni 2013 - India Quiz - Prelims (with Answers)
 
India Quiz Prelims
India Quiz Prelims India Quiz Prelims
India Quiz Prelims
 
India Quiz-NUTech-2016,Nirma University
India Quiz-NUTech-2016,Nirma UniversityIndia Quiz-NUTech-2016,Nirma University
India Quiz-NUTech-2016,Nirma University
 

Similar to SOC design

SystemOnAChip.ppt
SystemOnAChip.pptSystemOnAChip.ppt
SystemOnAChip.pptzahixdd
 
FPGA_prototyping proccesing with conclusion
FPGA_prototyping proccesing with conclusionFPGA_prototyping proccesing with conclusion
FPGA_prototyping proccesing with conclusionPersiPersi1
 
Mirabilis_Design AMD Versal System-Level IP Library
Mirabilis_Design AMD Versal System-Level IP LibraryMirabilis_Design AMD Versal System-Level IP Library
Mirabilis_Design AMD Versal System-Level IP LibraryDeepak Shankar
 
Using the Cypress PSoC Processor
Using the Cypress PSoC ProcessorUsing the Cypress PSoC Processor
Using the Cypress PSoC ProcessorLloydMoore
 
International Journal of Computational Engineering Research(IJCER)
International Journal of Computational Engineering Research(IJCER)International Journal of Computational Engineering Research(IJCER)
International Journal of Computational Engineering Research(IJCER)ijceronline
 
System_on_Chip_SOC.ppt
System_on_Chip_SOC.pptSystem_on_Chip_SOC.ppt
System_on_Chip_SOC.pptzahixdd
 
JD Role Type Slides - 12.2022.pdf
JD Role Type Slides - 12.2022.pdfJD Role Type Slides - 12.2022.pdf
JD Role Type Slides - 12.2022.pdfPallavBishi1
 
Design of embedded systems
Design of embedded systemsDesign of embedded systems
Design of embedded systemsPradeep Kumar TS
 
Design of embedded systems tsp
Design of embedded systems tspDesign of embedded systems tsp
Design of embedded systems tspPradeep Kumar TS
 
CV-RENJINIK-27062016
CV-RENJINIK-27062016CV-RENJINIK-27062016
CV-RENJINIK-27062016Renjini K
 

Similar to SOC design (20)

SystemOnAChip.ppt
SystemOnAChip.pptSystemOnAChip.ppt
SystemOnAChip.ppt
 
soc design for dsp applications
soc design for dsp applicationssoc design for dsp applications
soc design for dsp applications
 
FPGA_prototyping proccesing with conclusion
FPGA_prototyping proccesing with conclusionFPGA_prototyping proccesing with conclusion
FPGA_prototyping proccesing with conclusion
 
Mirabilis_Design AMD Versal System-Level IP Library
Mirabilis_Design AMD Versal System-Level IP LibraryMirabilis_Design AMD Versal System-Level IP Library
Mirabilis_Design AMD Versal System-Level IP Library
 
Using the Cypress PSoC Processor
Using the Cypress PSoC ProcessorUsing the Cypress PSoC Processor
Using the Cypress PSoC Processor
 
Choosing the right processor
Choosing the right processorChoosing the right processor
Choosing the right processor
 
International Journal of Computational Engineering Research(IJCER)
International Journal of Computational Engineering Research(IJCER)International Journal of Computational Engineering Research(IJCER)
International Journal of Computational Engineering Research(IJCER)
 
System_on_Chip_SOC.ppt
System_on_Chip_SOC.pptSystem_on_Chip_SOC.ppt
System_on_Chip_SOC.ppt
 
Alex16_ic
Alex16_icAlex16_ic
Alex16_ic
 
JD Role Type Slides - 12.2022.pdf
JD Role Type Slides - 12.2022.pdfJD Role Type Slides - 12.2022.pdf
JD Role Type Slides - 12.2022.pdf
 
Phillip 2015 08-28
Phillip 2015 08-28Phillip 2015 08-28
Phillip 2015 08-28
 
So c
So cSo c
So c
 
Design of embedded systems
Design of embedded systemsDesign of embedded systems
Design of embedded systems
 
Design of embedded systems tsp
Design of embedded systems tspDesign of embedded systems tsp
Design of embedded systems tsp
 
Embedded system
Embedded systemEmbedded system
Embedded system
 
TeksunLab Pegasus Program Details 2014
TeksunLab Pegasus Program Details 2014TeksunLab Pegasus Program Details 2014
TeksunLab Pegasus Program Details 2014
 
Evatronix track h
Evatronix   track hEvatronix   track h
Evatronix track h
 
Research Paper
Research PaperResearch Paper
Research Paper
 
CV-RENJINIK-27062016
CV-RENJINIK-27062016CV-RENJINIK-27062016
CV-RENJINIK-27062016
 
Digital Design Flow
Digital Design FlowDigital Design Flow
Digital Design Flow
 

More from Vinchipsytm Vlsitraining (12)

VLSI_ASIC_Training_Summer_Offer
VLSI_ASIC_Training_Summer_OfferVLSI_ASIC_Training_Summer_Offer
VLSI_ASIC_Training_Summer_Offer
 
Verilog Tasks and functions
Verilog Tasks and functionsVerilog Tasks and functions
Verilog Tasks and functions
 
Hard ip based SoC design
Hard ip based SoC designHard ip based SoC design
Hard ip based SoC design
 
Optimizing for low power in embedded mcu designs
Optimizing for low power in embedded mcu designsOptimizing for low power in embedded mcu designs
Optimizing for low power in embedded mcu designs
 
Coding style for good synthesis
Coding style for good synthesisCoding style for good synthesis
Coding style for good synthesis
 
system verilog
system verilogsystem verilog
system verilog
 
Chip packaging technology
Chip packaging technologyChip packaging technology
Chip packaging technology
 
USB 2.0
USB 2.0USB 2.0
USB 2.0
 
Axi
AxiAxi
Axi
 
Usb 2
Usb 2Usb 2
Usb 2
 
Low power vlsi design
Low power vlsi designLow power vlsi design
Low power vlsi design
 
Verification strategies
Verification strategiesVerification strategies
Verification strategies
 

Recently uploaded

Artificial intelligence in the post-deep learning era
Artificial intelligence in the post-deep learning eraArtificial intelligence in the post-deep learning era
Artificial intelligence in the post-deep learning eraDeakin University
 
Nell’iperspazio con Rocket: il Framework Web di Rust!
Nell’iperspazio con Rocket: il Framework Web di Rust!Nell’iperspazio con Rocket: il Framework Web di Rust!
Nell’iperspazio con Rocket: il Framework Web di Rust!Commit University
 
Science&tech:THE INFORMATION AGE STS.pdf
Science&tech:THE INFORMATION AGE STS.pdfScience&tech:THE INFORMATION AGE STS.pdf
Science&tech:THE INFORMATION AGE STS.pdfjimielynbastida
 
costume and set research powerpoint presentation
costume and set research powerpoint presentationcostume and set research powerpoint presentation
costume and set research powerpoint presentationphoebematthew05
 
SIP trunking in Janus @ Kamailio World 2024
SIP trunking in Janus @ Kamailio World 2024SIP trunking in Janus @ Kamailio World 2024
SIP trunking in Janus @ Kamailio World 2024Lorenzo Miniero
 
Advanced Test Driven-Development @ php[tek] 2024
Advanced Test Driven-Development @ php[tek] 2024Advanced Test Driven-Development @ php[tek] 2024
Advanced Test Driven-Development @ php[tek] 2024Scott Keck-Warren
 
Pigging Solutions Piggable Sweeping Elbows
Pigging Solutions Piggable Sweeping ElbowsPigging Solutions Piggable Sweeping Elbows
Pigging Solutions Piggable Sweeping ElbowsPigging Solutions
 
Are Multi-Cloud and Serverless Good or Bad?
Are Multi-Cloud and Serverless Good or Bad?Are Multi-Cloud and Serverless Good or Bad?
Are Multi-Cloud and Serverless Good or Bad?Mattias Andersson
 
AI as an Interface for Commercial Buildings
AI as an Interface for Commercial BuildingsAI as an Interface for Commercial Buildings
AI as an Interface for Commercial BuildingsMemoori
 
Transcript: New from BookNet Canada for 2024: BNC BiblioShare - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC BiblioShare - Tech Forum 2024Transcript: New from BookNet Canada for 2024: BNC BiblioShare - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC BiblioShare - Tech Forum 2024BookNet Canada
 
Designing IA for AI - Information Architecture Conference 2024
Designing IA for AI - Information Architecture Conference 2024Designing IA for AI - Information Architecture Conference 2024
Designing IA for AI - Information Architecture Conference 2024Enterprise Knowledge
 
Tech-Forward - Achieving Business Readiness For Copilot in Microsoft 365
Tech-Forward - Achieving Business Readiness For Copilot in Microsoft 365Tech-Forward - Achieving Business Readiness For Copilot in Microsoft 365
Tech-Forward - Achieving Business Readiness For Copilot in Microsoft 3652toLead Limited
 
Unblocking The Main Thread Solving ANRs and Frozen Frames
Unblocking The Main Thread Solving ANRs and Frozen FramesUnblocking The Main Thread Solving ANRs and Frozen Frames
Unblocking The Main Thread Solving ANRs and Frozen FramesSinan KOZAK
 
Build your next Gen AI Breakthrough - April 2024
Build your next Gen AI Breakthrough - April 2024Build your next Gen AI Breakthrough - April 2024
Build your next Gen AI Breakthrough - April 2024Neo4j
 
Integration and Automation in Practice: CI/CD in Mule Integration and Automat...
Integration and Automation in Practice: CI/CD in Mule Integration and Automat...Integration and Automation in Practice: CI/CD in Mule Integration and Automat...
Integration and Automation in Practice: CI/CD in Mule Integration and Automat...Patryk Bandurski
 
Vertex AI Gemini Prompt Engineering Tips
Vertex AI Gemini Prompt Engineering TipsVertex AI Gemini Prompt Engineering Tips
Vertex AI Gemini Prompt Engineering TipsMiki Katsuragi
 
Key Features Of Token Development (1).pptx
Key  Features Of Token  Development (1).pptxKey  Features Of Token  Development (1).pptx
Key Features Of Token Development (1).pptxLBM Solutions
 
Benefits Of Flutter Compared To Other Frameworks
Benefits Of Flutter Compared To Other FrameworksBenefits Of Flutter Compared To Other Frameworks
Benefits Of Flutter Compared To Other FrameworksSoftradix Technologies
 
Bluetooth Controlled Car with Arduino.pdf
Bluetooth Controlled Car with Arduino.pdfBluetooth Controlled Car with Arduino.pdf
Bluetooth Controlled Car with Arduino.pdfngoud9212
 

Recently uploaded (20)

Artificial intelligence in the post-deep learning era
Artificial intelligence in the post-deep learning eraArtificial intelligence in the post-deep learning era
Artificial intelligence in the post-deep learning era
 
Nell’iperspazio con Rocket: il Framework Web di Rust!
Nell’iperspazio con Rocket: il Framework Web di Rust!Nell’iperspazio con Rocket: il Framework Web di Rust!
Nell’iperspazio con Rocket: il Framework Web di Rust!
 
Science&tech:THE INFORMATION AGE STS.pdf
Science&tech:THE INFORMATION AGE STS.pdfScience&tech:THE INFORMATION AGE STS.pdf
Science&tech:THE INFORMATION AGE STS.pdf
 
costume and set research powerpoint presentation
costume and set research powerpoint presentationcostume and set research powerpoint presentation
costume and set research powerpoint presentation
 
SIP trunking in Janus @ Kamailio World 2024
SIP trunking in Janus @ Kamailio World 2024SIP trunking in Janus @ Kamailio World 2024
SIP trunking in Janus @ Kamailio World 2024
 
Advanced Test Driven-Development @ php[tek] 2024
Advanced Test Driven-Development @ php[tek] 2024Advanced Test Driven-Development @ php[tek] 2024
Advanced Test Driven-Development @ php[tek] 2024
 
Pigging Solutions Piggable Sweeping Elbows
Pigging Solutions Piggable Sweeping ElbowsPigging Solutions Piggable Sweeping Elbows
Pigging Solutions Piggable Sweeping Elbows
 
Are Multi-Cloud and Serverless Good or Bad?
Are Multi-Cloud and Serverless Good or Bad?Are Multi-Cloud and Serverless Good or Bad?
Are Multi-Cloud and Serverless Good or Bad?
 
AI as an Interface for Commercial Buildings
AI as an Interface for Commercial BuildingsAI as an Interface for Commercial Buildings
AI as an Interface for Commercial Buildings
 
E-Vehicle_Hacking_by_Parul Sharma_null_owasp.pptx
E-Vehicle_Hacking_by_Parul Sharma_null_owasp.pptxE-Vehicle_Hacking_by_Parul Sharma_null_owasp.pptx
E-Vehicle_Hacking_by_Parul Sharma_null_owasp.pptx
 
Transcript: New from BookNet Canada for 2024: BNC BiblioShare - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC BiblioShare - Tech Forum 2024Transcript: New from BookNet Canada for 2024: BNC BiblioShare - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC BiblioShare - Tech Forum 2024
 
Designing IA for AI - Information Architecture Conference 2024
Designing IA for AI - Information Architecture Conference 2024Designing IA for AI - Information Architecture Conference 2024
Designing IA for AI - Information Architecture Conference 2024
 
Tech-Forward - Achieving Business Readiness For Copilot in Microsoft 365
Tech-Forward - Achieving Business Readiness For Copilot in Microsoft 365Tech-Forward - Achieving Business Readiness For Copilot in Microsoft 365
Tech-Forward - Achieving Business Readiness For Copilot in Microsoft 365
 
Unblocking The Main Thread Solving ANRs and Frozen Frames
Unblocking The Main Thread Solving ANRs and Frozen FramesUnblocking The Main Thread Solving ANRs and Frozen Frames
Unblocking The Main Thread Solving ANRs and Frozen Frames
 
Build your next Gen AI Breakthrough - April 2024
Build your next Gen AI Breakthrough - April 2024Build your next Gen AI Breakthrough - April 2024
Build your next Gen AI Breakthrough - April 2024
 
Integration and Automation in Practice: CI/CD in Mule Integration and Automat...
Integration and Automation in Practice: CI/CD in Mule Integration and Automat...Integration and Automation in Practice: CI/CD in Mule Integration and Automat...
Integration and Automation in Practice: CI/CD in Mule Integration and Automat...
 
Vertex AI Gemini Prompt Engineering Tips
Vertex AI Gemini Prompt Engineering TipsVertex AI Gemini Prompt Engineering Tips
Vertex AI Gemini Prompt Engineering Tips
 
Key Features Of Token Development (1).pptx
Key  Features Of Token  Development (1).pptxKey  Features Of Token  Development (1).pptx
Key Features Of Token Development (1).pptx
 
Benefits Of Flutter Compared To Other Frameworks
Benefits Of Flutter Compared To Other FrameworksBenefits Of Flutter Compared To Other Frameworks
Benefits Of Flutter Compared To Other Frameworks
 
Bluetooth Controlled Car with Arduino.pdf
Bluetooth Controlled Car with Arduino.pdfBluetooth Controlled Car with Arduino.pdf
Bluetooth Controlled Car with Arduino.pdf
 

SOC design

  • 1. SoC Design Vinchip Systems (a Design and Verification Company) Chennai.
  • 2. Agenda  1.Introduction  2.SoC Fundamentals  3.SoC Design flow  4.Query session
  • 3. Introduction  A system on chip (SoC) is an integrated circuit (IC) that integrates all components of a computer or other electronic system into a single chip.  It may contain digital, analog, mixed-signal, and often radio-frequency functions all on a single chip substrate.  A SoC design is a “product creation process” Which  Starts at identifying the end-user needs (or system)  Hardware  Software  Ends at delivering a product with enough functional satisfaction to overcome the payment from the end-user
  • 4. SoC Fundamentals  SoC is a collection of IP’s  IP stands for Intellectual Property.  That may be  Soft IP  Hard IP  The design starts from the specification to fabrication through the integration of various IP’s
  • 9. SoC Architecture  Hardware:  Analog: ADC, DAC, PLL, TxRx, RF…etc.  Digital: Processor, Interface, Accelerator…etc.  Storage: SRAM, DRAM, FLASH, ROM…etc.  Software: OS, Application
  • 11. SoC Design Considerations  Architecture strategy  Design-for-test strategy  Validation strategy  Synthesis and backend strategy  Integration strategy
  • 13. Growth of the Technology
  • 14. Architecture Strategy  Central processing core  DSP cores  On chip bus  Easy plug-and-play IPs  I/O, peripherals  Platform-based design methodology  Parameterization  Function partition
  • 15. Alternative Computing Subsystem Control-dominated subsystem.  controls & coordinates system tasks  performs reactive tasks (e.g. user interface) Data-dominated Subsystem  regular & predictable transformational tasks  well-defined DSP kernels with high parallelism
  • 16. SOC Complexity / Abstraction
  • 17. Conquer the SoC Complexity  Use a known real entity  A pre-designed component (IP, VC reuse)  A platform (architecture reuse)  Partition  Based on functionality  Hardware and software  Modeling  At different level  Consistent and accurate
  • 18. What is IP?  Intellectual Property (IP)  Intellectual Property means products, technology, software, etc. that have been protected through patents, copyrights, or trade secrets.  Virtual Component (VC)  A block that meets the Virtual Socket Interface Specification and is used as a component in the Virtual Socket design environment. Virtual Components can be of three forms Soft, Firm, or Hard.  Also named mega function, macro block, reusable component
  • 19. SoC and SIP  System-on-Chip (SoC)  Semiconductor Intellectual Property (IP)  Also known as cores, virtual components (VCs)  Memory, processors, DSPs, I/O, peripherals  SoC = Σ IPs ?
  • 21. IP, VC, PE, FU, …  Memory controller  Interrupt controller  Power management controller  Internal memories  Bridges  Caches  Other functions
  • 22. Hard, Soft, Firm IPs  Hard core  Large logic circuits  An ART  E.g. ARM core  Soft core  Tiny logic circuits  Synthesize layout using standard cells with ASIC flow  E.g. IPs  Firm core  Medium logic circuits  Need tight integration with custom cells  ile-based layout like Hard core  E.g. FPGA CAD tools
  • 24. Differences in Design Between IC and IP  Limitation of IC design  Number of I/O pin  Design and Implement all the functionality in the silicon  Soft IP  No limitation on number of I/O pin  Parameterized IP Design: design all the functionality in HDL code  but implement desired parts in the silicon  IP compiler/Generator: select what you want !!  More high level auxiliary tools to verify design  More difficult in chip-level verification  Hard IP  No limitation on number of I/O pin  Provide multiple level abstract model  Design and Implement all the functionality in the layout
  • 25. IP Value  Foundation IP – Cell, MegaCell  Star IP – ARM ( low power )  Niche IP – JPEG, MPEGII, TV, Filter  Standard IP – USB, IEEE1394, ADC, DAC
  • 26. IP Sources  Legacy IP  from previous IC  New IP  specifically designed for reuse  Licensed IP  from IP vendors
  • 27. Why IP ?  Don’t know how to do it  Cannot wait for new in-house development  Standard/Compatibility calls for it  PCI, USB, IEEE1394, Bluetooth  Software compatibility  Configurable
  • 28. SoC: A Finer View  SoC = ∫ (IPs + Platform)  Platform, or Semiconductor Infrastructure IP  Interconnect/Inter-block communication  Performance optimization  Test  Diagnosis  Repair  Power management
  • 29. On-Chip-Bus, OCB  Requirements  Have to connect many local IPs  Heterogeneous traffic  Scalable capability  QoS  Types  Wire (zero hop)  Bus (single hop)  Switch, router (multi-hop)  Circuit-switched  Packet-switched
  • 30. Example: ARM OCB - AMBA  Advanced Microcontroller Bus Architecture (AMBA)  AMBA 2.0 specifies  The Advanced High-performance Bus (AHB)  The Advanced System Bus (ASB)  The Advanced Peripheral Bus (APB)  Test methodology
  • 31. Example-Set Top Box Controller
  • 33. Generic Wireless / Computing