SlideShare a Scribd company logo
Contents:
 Introduction
 VHDL structural Modelling Programs –Full Adder, SR Flip
flop, JK Flip flop & D flip flops
Kongunadu College of Engineering & Technology Structural Modelling 1
Structural Modelling
 In structural design, a VHDL and a Verilog uses components or
gates to model the system.
 The important features of VHDL structural type of architecture
body are:
 Design hierarchy
 Components are used
 Each component is simulated separately.
 In the structural modeling, an entity is described as a set of
components connected by signals, that is, as a net list.
 The architecture body is composed of two parts: the declarative
part and the statement parts.
Kongunadu College of Engineering & Technology Structural Modelling 2
Contd…
Full adder is taken as an example,
Kongunadu College of Engineering & Technology Structural Modelling 3
Program
Library ieee;
Use ieee.std_logic_1164.all;
Entity full_add is
port(A,B,Cin :in bit;
Sum,Cout :out bit);
end full_add;
architecture adder of full_add is
component xor3
port(I1,I2,I3:in bit;
O1:out bit);
end component;
component and2
port(I1,I2:in bit;
O1:out bit);
end component;
Kongunadu College of Engineering & Technology Structural Modelling 4
Contd…
component or3
port(I1,I2,I3:in bit;
O1:out bit);
end component;
signal S1,S2,S3:bit
begin
Y1:xor3 port map (A, B, Cin, Sum);
X1:and2 port map (A, B, S1);
X2:and2 port map (A, Cin, S2);
X3:and2 port map (B, Cin, S3);
Y2:or3 port map (S1,S2,S3,Cout);
end adder;
Kongunadu College of Engineering & Technology Structural Modelling 5
Structural description of pulse triggered SR
Flip-flop
Kongunadu College of Engineering & Technology Structural Modelling 6
Program
Library ieee;
Use ieee.std_logic_1164.all;
Entity SR_FF is
port(S,R,CP:in std_logic;
Q,Qbar:buffer std_logic);
end SR_FF;
architecture FF of SR_FF is
component nand2
port(I1,I2:in std_logic;
O1:out std_logic);
end component;
for all: nand2 use entity work.two input(nand2_7);
signal S1,R1: std_logic;
begin
NA1:nand2 port map (S1,Qbar,Q);
NA2: nand2 port map (Q ,R1,Qbar);
NA3: nand2 port map (S ,CP,S1);
NA4: nand2 port map (R ,CP,R1);
end FF;
Kongunadu College of Engineering & Technology Structural Modelling 7
Structural description of pulse triggered D
Flip-flop
Library ieee;
Use ieee.std_logic_1164.all;
Entity D_FF is
port(D,CP:in std_logic;
Q,Qbar:buffer std_logic);
end D_FF;
architecture FF of D_FF is
component nand2
port(I1,I2:in std_logic;
O1:out std_logic);
end component;
Kongunadu College of Engineering & Technology Structural Modelling 8
for all: nand2 use entity work.two input(nand2_7);
signal S1,R,R1: std_logic;
begin
NA1:nand2 port map (D,CP,S1);
NA2: nand2 port map (R,CP,R1);
NA3: nand2 port map (D,D,R);
NA4: nand2 port map (S1,Qbar,Q);
NA5: nand2 port map (Q,R1,Qbar);
end FF;
Contd….
Kongunadu College of Engineering & Technology Structural Modelling 9
Structural description of pulse triggered JK Flip-
flop
Kongunadu College of Engineering & Technology Structural Modelling 10
Program
Library ieee;
Use ieee.std_logic_1164.all;
Entity JK_FF is
port(J,K,CP:in std_logic;
Q,Qbar:buffer std_logic);
end JK_FF;
architecture FF of JK_FF is
component nor2
port(I1,I2:in std_logic;
O1:out std_logic);
end component;
component and3
port(I1,I2,I3:in std_logic;
O1:out std_logic);
end component;Kongunadu College of Engineering & Technology Structural Modelling 11
Contd…
for all: nor2 use entity work.two input(nor2_7);
for all: and3 use entity work.three input(and3_7);
signal R,S
begin
N1:nor2 port map (S,Q,Qbar);
N2: nor2 port map (R,Qbar,Q);
A1: and3 port map (Q,K,CP,R);
A2: and3 port map (Qbar,J,CP,S);
end FF;
Kongunadu College of Engineering & Technology Structural Modelling 12
Conclusion:
The basics of structural modelling is explained in detail with examples.
References:
1. Mandal, “Digital Electronics Principles & Application, McGraw Hill Edu, 2013.
2. William Keitz, Digital Electronics-A Practical Approach with VHDL, Pearson, 2013.
3. Thomas L.Floyd, ‘Digital Fundamentals’, 11th edition, Pearson Education, 2015.
4. Charles H.Roth, Jr, Lizy Lizy Kurian John, ‘Digital System Design using VHDL,
Cengage,2013.
5. D.P.Kothari,J.S.Dhillon, ‘Digital circuits and Design’,Pearson Education, 2016.
6. A.P.Godse., Dr.D.A.Godse, ‘Digital Logic Circuits’ , Technical Publications Third Edition
2016
7. Other Web Sources
Kongunadu College of Engineering & Technology Structural Modelling 13

More Related Content

What's hot

Programmable Logic Devices Plds
Programmable Logic Devices PldsProgrammable Logic Devices Plds
Programmable Logic Devices Plds
Gaditek
 
Lect 7: Verilog Behavioral model for Absolute Beginners
Lect 7: Verilog Behavioral model for Absolute BeginnersLect 7: Verilog Behavioral model for Absolute Beginners
Lect 7: Verilog Behavioral model for Absolute Beginners
Dr.YNM
 
VHDL
VHDLVHDL
Flipflop
FlipflopFlipflop
Flipflop
sohamdodia27
 
Latches & flip flop
Latches & flip flopLatches & flip flop
Latches & flip flop
Nousheen Arshad
 
Data flow model -Lecture-4
Data flow model -Lecture-4Data flow model -Lecture-4
Data flow model -Lecture-4
Dr.YNM
 
Sequential circuits
Sequential circuitsSequential circuits
Sequential circuits
Paresh Parmar
 
Verilog tutorial
Verilog tutorialVerilog tutorial
Verilog tutorial
Abhiraj Bohra
 
VHDL- gate level modelling
VHDL- gate level modellingVHDL- gate level modelling
VHDL- gate level modelling
VandanaPagar1
 
Verilog Test Bench
Verilog Test BenchVerilog Test Bench
Verilog Test Bench
Dr.YNM
 
Finite state machines
Finite state machinesFinite state machines
Finite state machinesdennis gookyi
 
Programmable Logic Array(PLA) & Programmable Array Logic(PAL)
Programmable Logic Array(PLA) & Programmable Array Logic(PAL)Programmable Logic Array(PLA) & Programmable Array Logic(PAL)
Programmable Logic Array(PLA) & Programmable Array Logic(PAL)
Revathi Subramaniam
 
sequential circuits
sequential circuitssequential circuits
sequential circuits
Unsa Shakir
 
PAL And PLA ROM
PAL And PLA ROMPAL And PLA ROM
PAL And PLA ROM
RONAK SUTARIYA
 
Concepts of Behavioral modelling in Verilog HDL
Concepts of Behavioral modelling in Verilog HDLConcepts of Behavioral modelling in Verilog HDL
Concepts of Behavioral modelling in Verilog HDL
anand hd
 
multiplexers and demultiplexers
 multiplexers and demultiplexers multiplexers and demultiplexers
multiplexers and demultiplexers
Unsa Shakir
 
Sequential Logic Circuit
Sequential Logic CircuitSequential Logic Circuit
Sequential Logic Circuit
Ramasubbu .P
 
Sequential circuits in Digital Electronics
Sequential circuits in Digital ElectronicsSequential circuits in Digital Electronics
Sequential circuits in Digital Electronics
Vinoth Loganathan
 

What's hot (20)

Programmable Logic Devices Plds
Programmable Logic Devices PldsProgrammable Logic Devices Plds
Programmable Logic Devices Plds
 
Data Flow Modeling
Data Flow ModelingData Flow Modeling
Data Flow Modeling
 
Flipflop
FlipflopFlipflop
Flipflop
 
Lect 7: Verilog Behavioral model for Absolute Beginners
Lect 7: Verilog Behavioral model for Absolute BeginnersLect 7: Verilog Behavioral model for Absolute Beginners
Lect 7: Verilog Behavioral model for Absolute Beginners
 
VHDL
VHDLVHDL
VHDL
 
Flipflop
FlipflopFlipflop
Flipflop
 
Latches & flip flop
Latches & flip flopLatches & flip flop
Latches & flip flop
 
Data flow model -Lecture-4
Data flow model -Lecture-4Data flow model -Lecture-4
Data flow model -Lecture-4
 
Sequential circuits
Sequential circuitsSequential circuits
Sequential circuits
 
Verilog tutorial
Verilog tutorialVerilog tutorial
Verilog tutorial
 
VHDL- gate level modelling
VHDL- gate level modellingVHDL- gate level modelling
VHDL- gate level modelling
 
Verilog Test Bench
Verilog Test BenchVerilog Test Bench
Verilog Test Bench
 
Finite state machines
Finite state machinesFinite state machines
Finite state machines
 
Programmable Logic Array(PLA) & Programmable Array Logic(PAL)
Programmable Logic Array(PLA) & Programmable Array Logic(PAL)Programmable Logic Array(PLA) & Programmable Array Logic(PAL)
Programmable Logic Array(PLA) & Programmable Array Logic(PAL)
 
sequential circuits
sequential circuitssequential circuits
sequential circuits
 
PAL And PLA ROM
PAL And PLA ROMPAL And PLA ROM
PAL And PLA ROM
 
Concepts of Behavioral modelling in Verilog HDL
Concepts of Behavioral modelling in Verilog HDLConcepts of Behavioral modelling in Verilog HDL
Concepts of Behavioral modelling in Verilog HDL
 
multiplexers and demultiplexers
 multiplexers and demultiplexers multiplexers and demultiplexers
multiplexers and demultiplexers
 
Sequential Logic Circuit
Sequential Logic CircuitSequential Logic Circuit
Sequential Logic Circuit
 
Sequential circuits in Digital Electronics
Sequential circuits in Digital ElectronicsSequential circuits in Digital Electronics
Sequential circuits in Digital Electronics
 

Similar to Structural modelling

Write complete VHDL codes for the following schematic. Solution.pdf
Write complete VHDL codes for the following schematic.  Solution.pdfWrite complete VHDL codes for the following schematic.  Solution.pdf
Write complete VHDL codes for the following schematic. Solution.pdf
arjuncollection
 
VHDL-Behavioral-Programs-Structure of VHDL
VHDL-Behavioral-Programs-Structure of VHDLVHDL-Behavioral-Programs-Structure of VHDL
VHDL-Behavioral-Programs-Structure of VHDL
Revathi Subramaniam
 
Ddhdl 15
Ddhdl 15Ddhdl 15
Ddhdl 15
Akhil Maddineni
 
Digital Electronics .
Digital Electronics                                              .Digital Electronics                                              .
Digital Electronics .
inian2
 
VHDL Programs
VHDL ProgramsVHDL Programs
VHDL Programs
A B Shinde
 
Vhdl code and project report of arithmetic and logic unit
Vhdl code and project report of arithmetic and logic unitVhdl code and project report of arithmetic and logic unit
Vhdl code and project report of arithmetic and logic unit
Nikhil Sahu
 
Practical file
Practical filePractical file
Practical file
rajeevkr35
 
Digital system design lab manual
Digital system design lab manualDigital system design lab manual
Digital system design lab manual
Santhosh Poralu
 
Introduction to VHDL
Introduction to VHDLIntroduction to VHDL
Introduction to VHDL
Yaser Kalifa
 
Vhdl introduction
Vhdl introductionVhdl introduction
Vhdl introduction
Dhaval Shukla
 
vlsi introduction to hdl and its typesunit-1.pptx
vlsi introduction to hdl and its typesunit-1.pptxvlsi introduction to hdl and its typesunit-1.pptx
vlsi introduction to hdl and its typesunit-1.pptx
iconicyt2
 
Pipeline stalling in vhdl
Pipeline stalling in vhdlPipeline stalling in vhdl
Pipeline stalling in vhdlSai Malleswar
 
FPGA training session generic package and funtions of VHDL by Digitronix Nepal
FPGA training session generic package and funtions of VHDL by Digitronix NepalFPGA training session generic package and funtions of VHDL by Digitronix Nepal
FPGA training session generic package and funtions of VHDL by Digitronix Nepal
Krishna Gaihre
 
L6_Slides_vhdl coures temporary hair dye for dark hair
L6_Slides_vhdl coures temporary hair dye for dark hairL6_Slides_vhdl coures temporary hair dye for dark hair
L6_Slides_vhdl coures temporary hair dye for dark hair
loyad20119
 
VHDL summer training (ppt)
 VHDL summer training (ppt) VHDL summer training (ppt)
VHDL summer training (ppt)
HoneyKumar34
 
Dica ii chapter slides
Dica ii chapter slidesDica ii chapter slides
Dica ii chapter slides
SIVA NAGENDRA REDDY
 
Basic Coding In VHDL COding
Basic Coding In VHDL COdingBasic Coding In VHDL COding
Basic Coding In VHDL COding
anna university
 
Fpga 1
Fpga 1Fpga 1

Similar to Structural modelling (20)

Write complete VHDL codes for the following schematic. Solution.pdf
Write complete VHDL codes for the following schematic.  Solution.pdfWrite complete VHDL codes for the following schematic.  Solution.pdf
Write complete VHDL codes for the following schematic. Solution.pdf
 
VHDL-Behavioral-Programs-Structure of VHDL
VHDL-Behavioral-Programs-Structure of VHDLVHDL-Behavioral-Programs-Structure of VHDL
VHDL-Behavioral-Programs-Structure of VHDL
 
Ddhdl 15
Ddhdl 15Ddhdl 15
Ddhdl 15
 
Digital Electronics .
Digital Electronics                                              .Digital Electronics                                              .
Digital Electronics .
 
VHDL Programs
VHDL ProgramsVHDL Programs
VHDL Programs
 
Basics of Vhdl
Basics of VhdlBasics of Vhdl
Basics of Vhdl
 
Vhdl code and project report of arithmetic and logic unit
Vhdl code and project report of arithmetic and logic unitVhdl code and project report of arithmetic and logic unit
Vhdl code and project report of arithmetic and logic unit
 
Practical file
Practical filePractical file
Practical file
 
Digital system design lab manual
Digital system design lab manualDigital system design lab manual
Digital system design lab manual
 
Introduction to VHDL
Introduction to VHDLIntroduction to VHDL
Introduction to VHDL
 
Vhdl introduction
Vhdl introductionVhdl introduction
Vhdl introduction
 
vlsi introduction to hdl and its typesunit-1.pptx
vlsi introduction to hdl and its typesunit-1.pptxvlsi introduction to hdl and its typesunit-1.pptx
vlsi introduction to hdl and its typesunit-1.pptx
 
Pipeline stalling in vhdl
Pipeline stalling in vhdlPipeline stalling in vhdl
Pipeline stalling in vhdl
 
Spdas2 vlsibput
Spdas2 vlsibputSpdas2 vlsibput
Spdas2 vlsibput
 
FPGA training session generic package and funtions of VHDL by Digitronix Nepal
FPGA training session generic package and funtions of VHDL by Digitronix NepalFPGA training session generic package and funtions of VHDL by Digitronix Nepal
FPGA training session generic package and funtions of VHDL by Digitronix Nepal
 
L6_Slides_vhdl coures temporary hair dye for dark hair
L6_Slides_vhdl coures temporary hair dye for dark hairL6_Slides_vhdl coures temporary hair dye for dark hair
L6_Slides_vhdl coures temporary hair dye for dark hair
 
VHDL summer training (ppt)
 VHDL summer training (ppt) VHDL summer training (ppt)
VHDL summer training (ppt)
 
Dica ii chapter slides
Dica ii chapter slidesDica ii chapter slides
Dica ii chapter slides
 
Basic Coding In VHDL COding
Basic Coding In VHDL COdingBasic Coding In VHDL COding
Basic Coding In VHDL COding
 
Fpga 1
Fpga 1Fpga 1
Fpga 1
 

More from Revathi Subramaniam

Introduction to embedded system
Introduction to embedded systemIntroduction to embedded system
Introduction to embedded system
Revathi Subramaniam
 
Inter intergrated circuits-communication protocol
Inter intergrated circuits-communication protocolInter intergrated circuits-communication protocol
Inter intergrated circuits-communication protocol
Revathi Subramaniam
 
Application of embeddd system
Application of embeddd systemApplication of embeddd system
Application of embeddd system
Revathi Subramaniam
 
Embedded development life cycle
Embedded development life cycleEmbedded development life cycle
Embedded development life cycle
Revathi Subramaniam
 
Adaptive cruise control acc
Adaptive cruise control accAdaptive cruise control acc
Adaptive cruise control acc
Revathi Subramaniam
 
Unsymmetrical fault analysis
Unsymmetrical fault analysisUnsymmetrical fault analysis
Unsymmetrical fault analysis
Revathi Subramaniam
 
Structure of power system
Structure of power systemStructure of power system
Structure of power system
Revathi Subramaniam
 
Restructuring
RestructuringRestructuring
Restructuring
Revathi Subramaniam
 
Power flow analysis
Power flow analysisPower flow analysis
Power flow analysis
Revathi Subramaniam
 
Per unit analysis
Per unit analysisPer unit analysis
Per unit analysis
Revathi Subramaniam
 
Newton raphson method
Newton raphson methodNewton raphson method
Newton raphson method
Revathi Subramaniam
 
Introduction to power system analysis
Introduction to power system analysisIntroduction to power system analysis
Introduction to power system analysis
Revathi Subramaniam
 
Gauss seidel method
Gauss seidel methodGauss seidel method
Gauss seidel method
Revathi Subramaniam
 
Fault analysis
Fault analysisFault analysis
Fault analysis
Revathi Subramaniam
 
Fault analysis using z bus
Fault analysis using z busFault analysis using z bus
Fault analysis using z bus
Revathi Subramaniam
 
Flip flops
Flip flopsFlip flops
Complex Programmable Logic Devices(CPLD) & Field Programmable Logic Devices (...
Complex Programmable Logic Devices(CPLD) & Field Programmable Logic Devices (...Complex Programmable Logic Devices(CPLD) & Field Programmable Logic Devices (...
Complex Programmable Logic Devices(CPLD) & Field Programmable Logic Devices (...
Revathi Subramaniam
 
Counters
CountersCounters
Digital logic families
Digital logic familiesDigital logic families
Digital logic families
Revathi Subramaniam
 
Error detection & correction codes
Error detection & correction codesError detection & correction codes
Error detection & correction codes
Revathi Subramaniam
 

More from Revathi Subramaniam (20)

Introduction to embedded system
Introduction to embedded systemIntroduction to embedded system
Introduction to embedded system
 
Inter intergrated circuits-communication protocol
Inter intergrated circuits-communication protocolInter intergrated circuits-communication protocol
Inter intergrated circuits-communication protocol
 
Application of embeddd system
Application of embeddd systemApplication of embeddd system
Application of embeddd system
 
Embedded development life cycle
Embedded development life cycleEmbedded development life cycle
Embedded development life cycle
 
Adaptive cruise control acc
Adaptive cruise control accAdaptive cruise control acc
Adaptive cruise control acc
 
Unsymmetrical fault analysis
Unsymmetrical fault analysisUnsymmetrical fault analysis
Unsymmetrical fault analysis
 
Structure of power system
Structure of power systemStructure of power system
Structure of power system
 
Restructuring
RestructuringRestructuring
Restructuring
 
Power flow analysis
Power flow analysisPower flow analysis
Power flow analysis
 
Per unit analysis
Per unit analysisPer unit analysis
Per unit analysis
 
Newton raphson method
Newton raphson methodNewton raphson method
Newton raphson method
 
Introduction to power system analysis
Introduction to power system analysisIntroduction to power system analysis
Introduction to power system analysis
 
Gauss seidel method
Gauss seidel methodGauss seidel method
Gauss seidel method
 
Fault analysis
Fault analysisFault analysis
Fault analysis
 
Fault analysis using z bus
Fault analysis using z busFault analysis using z bus
Fault analysis using z bus
 
Flip flops
Flip flopsFlip flops
Flip flops
 
Complex Programmable Logic Devices(CPLD) & Field Programmable Logic Devices (...
Complex Programmable Logic Devices(CPLD) & Field Programmable Logic Devices (...Complex Programmable Logic Devices(CPLD) & Field Programmable Logic Devices (...
Complex Programmable Logic Devices(CPLD) & Field Programmable Logic Devices (...
 
Counters
CountersCounters
Counters
 
Digital logic families
Digital logic familiesDigital logic families
Digital logic families
 
Error detection & correction codes
Error detection & correction codesError detection & correction codes
Error detection & correction codes
 

Recently uploaded

Student information management system project report ii.pdf
Student information management system project report ii.pdfStudent information management system project report ii.pdf
Student information management system project report ii.pdf
Kamal Acharya
 
H.Seo, ICLR 2024, MLILAB, KAIST AI.pdf
H.Seo,  ICLR 2024, MLILAB,  KAIST AI.pdfH.Seo,  ICLR 2024, MLILAB,  KAIST AI.pdf
H.Seo, ICLR 2024, MLILAB, KAIST AI.pdf
MLILAB
 
Quality defects in TMT Bars, Possible causes and Potential Solutions.
Quality defects in TMT Bars, Possible causes and Potential Solutions.Quality defects in TMT Bars, Possible causes and Potential Solutions.
Quality defects in TMT Bars, Possible causes and Potential Solutions.
PrashantGoswami42
 
Gen AI Study Jams _ For the GDSC Leads in India.pdf
Gen AI Study Jams _ For the GDSC Leads in India.pdfGen AI Study Jams _ For the GDSC Leads in India.pdf
Gen AI Study Jams _ For the GDSC Leads in India.pdf
gdsczhcet
 
ethical hacking-mobile hacking methods.ppt
ethical hacking-mobile hacking methods.pptethical hacking-mobile hacking methods.ppt
ethical hacking-mobile hacking methods.ppt
Jayaprasanna4
 
Nuclear Power Economics and Structuring 2024
Nuclear Power Economics and Structuring 2024Nuclear Power Economics and Structuring 2024
Nuclear Power Economics and Structuring 2024
Massimo Talia
 
Courier management system project report.pdf
Courier management system project report.pdfCourier management system project report.pdf
Courier management system project report.pdf
Kamal Acharya
 
COLLEGE BUS MANAGEMENT SYSTEM PROJECT REPORT.pdf
COLLEGE BUS MANAGEMENT SYSTEM PROJECT REPORT.pdfCOLLEGE BUS MANAGEMENT SYSTEM PROJECT REPORT.pdf
COLLEGE BUS MANAGEMENT SYSTEM PROJECT REPORT.pdf
Kamal Acharya
 
Halogenation process of chemical process industries
Halogenation process of chemical process industriesHalogenation process of chemical process industries
Halogenation process of chemical process industries
MuhammadTufail242431
 
ASME IX(9) 2007 Full Version .pdf
ASME IX(9)  2007 Full Version       .pdfASME IX(9)  2007 Full Version       .pdf
ASME IX(9) 2007 Full Version .pdf
AhmedHussein950959
 
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
Amil Baba Dawood bangali
 
Hybrid optimization of pumped hydro system and solar- Engr. Abdul-Azeez.pdf
Hybrid optimization of pumped hydro system and solar- Engr. Abdul-Azeez.pdfHybrid optimization of pumped hydro system and solar- Engr. Abdul-Azeez.pdf
Hybrid optimization of pumped hydro system and solar- Engr. Abdul-Azeez.pdf
fxintegritypublishin
 
HYDROPOWER - Hydroelectric power generation
HYDROPOWER - Hydroelectric power generationHYDROPOWER - Hydroelectric power generation
HYDROPOWER - Hydroelectric power generation
Robbie Edward Sayers
 
Water Industry Process Automation and Control Monthly - May 2024.pdf
Water Industry Process Automation and Control Monthly - May 2024.pdfWater Industry Process Automation and Control Monthly - May 2024.pdf
Water Industry Process Automation and Control Monthly - May 2024.pdf
Water Industry Process Automation & Control
 
Vaccine management system project report documentation..pdf
Vaccine management system project report documentation..pdfVaccine management system project report documentation..pdf
Vaccine management system project report documentation..pdf
Kamal Acharya
 
weather web application report.pdf
weather web application report.pdfweather web application report.pdf
weather web application report.pdf
Pratik Pawar
 
Planning Of Procurement o different goods and services
Planning Of Procurement o different goods and servicesPlanning Of Procurement o different goods and services
Planning Of Procurement o different goods and services
JoytuBarua2
 
Top 10 Oil and Gas Projects in Saudi Arabia 2024.pdf
Top 10 Oil and Gas Projects in Saudi Arabia 2024.pdfTop 10 Oil and Gas Projects in Saudi Arabia 2024.pdf
Top 10 Oil and Gas Projects in Saudi Arabia 2024.pdf
Teleport Manpower Consultant
 
J.Yang, ICLR 2024, MLILAB, KAIST AI.pdf
J.Yang,  ICLR 2024, MLILAB, KAIST AI.pdfJ.Yang,  ICLR 2024, MLILAB, KAIST AI.pdf
J.Yang, ICLR 2024, MLILAB, KAIST AI.pdf
MLILAB
 
Immunizing Image Classifiers Against Localized Adversary Attacks
Immunizing Image Classifiers Against Localized Adversary AttacksImmunizing Image Classifiers Against Localized Adversary Attacks
Immunizing Image Classifiers Against Localized Adversary Attacks
gerogepatton
 

Recently uploaded (20)

Student information management system project report ii.pdf
Student information management system project report ii.pdfStudent information management system project report ii.pdf
Student information management system project report ii.pdf
 
H.Seo, ICLR 2024, MLILAB, KAIST AI.pdf
H.Seo,  ICLR 2024, MLILAB,  KAIST AI.pdfH.Seo,  ICLR 2024, MLILAB,  KAIST AI.pdf
H.Seo, ICLR 2024, MLILAB, KAIST AI.pdf
 
Quality defects in TMT Bars, Possible causes and Potential Solutions.
Quality defects in TMT Bars, Possible causes and Potential Solutions.Quality defects in TMT Bars, Possible causes and Potential Solutions.
Quality defects in TMT Bars, Possible causes and Potential Solutions.
 
Gen AI Study Jams _ For the GDSC Leads in India.pdf
Gen AI Study Jams _ For the GDSC Leads in India.pdfGen AI Study Jams _ For the GDSC Leads in India.pdf
Gen AI Study Jams _ For the GDSC Leads in India.pdf
 
ethical hacking-mobile hacking methods.ppt
ethical hacking-mobile hacking methods.pptethical hacking-mobile hacking methods.ppt
ethical hacking-mobile hacking methods.ppt
 
Nuclear Power Economics and Structuring 2024
Nuclear Power Economics and Structuring 2024Nuclear Power Economics and Structuring 2024
Nuclear Power Economics and Structuring 2024
 
Courier management system project report.pdf
Courier management system project report.pdfCourier management system project report.pdf
Courier management system project report.pdf
 
COLLEGE BUS MANAGEMENT SYSTEM PROJECT REPORT.pdf
COLLEGE BUS MANAGEMENT SYSTEM PROJECT REPORT.pdfCOLLEGE BUS MANAGEMENT SYSTEM PROJECT REPORT.pdf
COLLEGE BUS MANAGEMENT SYSTEM PROJECT REPORT.pdf
 
Halogenation process of chemical process industries
Halogenation process of chemical process industriesHalogenation process of chemical process industries
Halogenation process of chemical process industries
 
ASME IX(9) 2007 Full Version .pdf
ASME IX(9)  2007 Full Version       .pdfASME IX(9)  2007 Full Version       .pdf
ASME IX(9) 2007 Full Version .pdf
 
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
 
Hybrid optimization of pumped hydro system and solar- Engr. Abdul-Azeez.pdf
Hybrid optimization of pumped hydro system and solar- Engr. Abdul-Azeez.pdfHybrid optimization of pumped hydro system and solar- Engr. Abdul-Azeez.pdf
Hybrid optimization of pumped hydro system and solar- Engr. Abdul-Azeez.pdf
 
HYDROPOWER - Hydroelectric power generation
HYDROPOWER - Hydroelectric power generationHYDROPOWER - Hydroelectric power generation
HYDROPOWER - Hydroelectric power generation
 
Water Industry Process Automation and Control Monthly - May 2024.pdf
Water Industry Process Automation and Control Monthly - May 2024.pdfWater Industry Process Automation and Control Monthly - May 2024.pdf
Water Industry Process Automation and Control Monthly - May 2024.pdf
 
Vaccine management system project report documentation..pdf
Vaccine management system project report documentation..pdfVaccine management system project report documentation..pdf
Vaccine management system project report documentation..pdf
 
weather web application report.pdf
weather web application report.pdfweather web application report.pdf
weather web application report.pdf
 
Planning Of Procurement o different goods and services
Planning Of Procurement o different goods and servicesPlanning Of Procurement o different goods and services
Planning Of Procurement o different goods and services
 
Top 10 Oil and Gas Projects in Saudi Arabia 2024.pdf
Top 10 Oil and Gas Projects in Saudi Arabia 2024.pdfTop 10 Oil and Gas Projects in Saudi Arabia 2024.pdf
Top 10 Oil and Gas Projects in Saudi Arabia 2024.pdf
 
J.Yang, ICLR 2024, MLILAB, KAIST AI.pdf
J.Yang,  ICLR 2024, MLILAB, KAIST AI.pdfJ.Yang,  ICLR 2024, MLILAB, KAIST AI.pdf
J.Yang, ICLR 2024, MLILAB, KAIST AI.pdf
 
Immunizing Image Classifiers Against Localized Adversary Attacks
Immunizing Image Classifiers Against Localized Adversary AttacksImmunizing Image Classifiers Against Localized Adversary Attacks
Immunizing Image Classifiers Against Localized Adversary Attacks
 

Structural modelling

  • 1. Contents:  Introduction  VHDL structural Modelling Programs –Full Adder, SR Flip flop, JK Flip flop & D flip flops Kongunadu College of Engineering & Technology Structural Modelling 1
  • 2. Structural Modelling  In structural design, a VHDL and a Verilog uses components or gates to model the system.  The important features of VHDL structural type of architecture body are:  Design hierarchy  Components are used  Each component is simulated separately.  In the structural modeling, an entity is described as a set of components connected by signals, that is, as a net list.  The architecture body is composed of two parts: the declarative part and the statement parts. Kongunadu College of Engineering & Technology Structural Modelling 2
  • 3. Contd… Full adder is taken as an example, Kongunadu College of Engineering & Technology Structural Modelling 3
  • 4. Program Library ieee; Use ieee.std_logic_1164.all; Entity full_add is port(A,B,Cin :in bit; Sum,Cout :out bit); end full_add; architecture adder of full_add is component xor3 port(I1,I2,I3:in bit; O1:out bit); end component; component and2 port(I1,I2:in bit; O1:out bit); end component; Kongunadu College of Engineering & Technology Structural Modelling 4
  • 5. Contd… component or3 port(I1,I2,I3:in bit; O1:out bit); end component; signal S1,S2,S3:bit begin Y1:xor3 port map (A, B, Cin, Sum); X1:and2 port map (A, B, S1); X2:and2 port map (A, Cin, S2); X3:and2 port map (B, Cin, S3); Y2:or3 port map (S1,S2,S3,Cout); end adder; Kongunadu College of Engineering & Technology Structural Modelling 5
  • 6. Structural description of pulse triggered SR Flip-flop Kongunadu College of Engineering & Technology Structural Modelling 6
  • 7. Program Library ieee; Use ieee.std_logic_1164.all; Entity SR_FF is port(S,R,CP:in std_logic; Q,Qbar:buffer std_logic); end SR_FF; architecture FF of SR_FF is component nand2 port(I1,I2:in std_logic; O1:out std_logic); end component; for all: nand2 use entity work.two input(nand2_7); signal S1,R1: std_logic; begin NA1:nand2 port map (S1,Qbar,Q); NA2: nand2 port map (Q ,R1,Qbar); NA3: nand2 port map (S ,CP,S1); NA4: nand2 port map (R ,CP,R1); end FF; Kongunadu College of Engineering & Technology Structural Modelling 7
  • 8. Structural description of pulse triggered D Flip-flop Library ieee; Use ieee.std_logic_1164.all; Entity D_FF is port(D,CP:in std_logic; Q,Qbar:buffer std_logic); end D_FF; architecture FF of D_FF is component nand2 port(I1,I2:in std_logic; O1:out std_logic); end component; Kongunadu College of Engineering & Technology Structural Modelling 8
  • 9. for all: nand2 use entity work.two input(nand2_7); signal S1,R,R1: std_logic; begin NA1:nand2 port map (D,CP,S1); NA2: nand2 port map (R,CP,R1); NA3: nand2 port map (D,D,R); NA4: nand2 port map (S1,Qbar,Q); NA5: nand2 port map (Q,R1,Qbar); end FF; Contd…. Kongunadu College of Engineering & Technology Structural Modelling 9
  • 10. Structural description of pulse triggered JK Flip- flop Kongunadu College of Engineering & Technology Structural Modelling 10
  • 11. Program Library ieee; Use ieee.std_logic_1164.all; Entity JK_FF is port(J,K,CP:in std_logic; Q,Qbar:buffer std_logic); end JK_FF; architecture FF of JK_FF is component nor2 port(I1,I2:in std_logic; O1:out std_logic); end component; component and3 port(I1,I2,I3:in std_logic; O1:out std_logic); end component;Kongunadu College of Engineering & Technology Structural Modelling 11
  • 12. Contd… for all: nor2 use entity work.two input(nor2_7); for all: and3 use entity work.three input(and3_7); signal R,S begin N1:nor2 port map (S,Q,Qbar); N2: nor2 port map (R,Qbar,Q); A1: and3 port map (Q,K,CP,R); A2: and3 port map (Qbar,J,CP,S); end FF; Kongunadu College of Engineering & Technology Structural Modelling 12
  • 13. Conclusion: The basics of structural modelling is explained in detail with examples. References: 1. Mandal, “Digital Electronics Principles & Application, McGraw Hill Edu, 2013. 2. William Keitz, Digital Electronics-A Practical Approach with VHDL, Pearson, 2013. 3. Thomas L.Floyd, ‘Digital Fundamentals’, 11th edition, Pearson Education, 2015. 4. Charles H.Roth, Jr, Lizy Lizy Kurian John, ‘Digital System Design using VHDL, Cengage,2013. 5. D.P.Kothari,J.S.Dhillon, ‘Digital circuits and Design’,Pearson Education, 2016. 6. A.P.Godse., Dr.D.A.Godse, ‘Digital Logic Circuits’ , Technical Publications Third Edition 2016 7. Other Web Sources Kongunadu College of Engineering & Technology Structural Modelling 13