SlideShare a Scribd company logo
1 of 13
Download to read offline
Review:
 Structure of VHDL –explanation & Syntax
 Behavioral Modelling-with examples
Kongunadu College of Engineering & Technology VHDL 1
Structure of VHDL module
 The main components of a VHDL description consists of
following kinds of declarations:
 Package
 Entity
 Architecture
 Configuration
Kongunadu College of Engineering & Technology VHDL 2
Entity Declaration
 It gives the specification of input/output signals to external
circuitry.
 An entity is modeled using an entity declaration and at least
one architecture body.
 Entity gives interfacing between device and the other
peripherals.
Syntax:
entity entity_name is
port( signal_names: mode signal_type;
signal_names: mode signal_type;
.
.
.
signal_names: mode signal_type);
end entity_name;
Kongunadu College of Engineering & Technology VHDL 3
Architecture
 It specifies the behavior, functionality, interconnections or
relationship between inputs and outputs.
 It is the actual description of the design.
 An architecture consists of two portions: architecture
declaration and architecture body
 An architecture body specifies the internal details of the
entity.
 set of concurrent assignment statements(to represent dataflow)
 set of interconnected components(to represent structure)
 set of sequential assignment statements(to represent behavior)
Kongunadu College of Engineering & Technology VHDL 4
Contd…
Syntax:
Architecture architecture_name of entity_name is
Declarations
begin
concurrent statements;
sequential statements;
end architecture_name;
Kongunadu College of Engineering & Technology VHDL 5
Configuration declaration
 It may be used to associate particular design entities to
component instances in a hierarchical design, or to
associate a particular architecture to an entity.
Package declaration
 It is a convenient mechanism to store and share the
declarations that are common across many design units
 A package is represented by: Package declaration and
Package body
Kongunadu College of Engineering & Technology VHDL 6
Contd…
Syntax for package declaration:
PACKAGE package_name IS
Type declarations
Subtype declarations
Constant declarations
Signal declarations
Use clauses
END package_name;
Syntax for package body:
Package body package_name is
Subprogram bodies
Subprogram declarations
Type and subtype declarations
File and alias declarations
Use clauses
End package_name;
Kongunadu College of Engineering & Technology VHDL 7
Behavioral Modelling
 It is method of directly describe the behavior or the
functionality of a circuit.
 In VHDL, the behavior of the entity is expressed
using sequentially executed, procedural code.
 The key mechanism used to model the behavior of the
entity is a process statement.
Kongunadu College of Engineering & Technology VHDL 8
Examples of Behavioral modelling
 Write the VHDL code half adder in behavioral model.
entity half_add is
Port(A,B: in bit;
Sum,cout:out bit);
end half_add;
architecture adder of half_add is
begin
sum<= A XOR B;
cout<= A and B;
end adder;
Kongunadu College of Engineering & Technology VHDL 9
Contd…
 Write the VHDL code full adder in behavioral model.
entity full_add is
Port(A,B,Cin: in bit;
Sum,cout:out bit);
end full_add;
architecture adder of full_add is
begin
Process(A,B,Cin)
begin
sum<= A xor B xor Cin;
cout<= (A and B) or (Cin and A) or (Cin and B) ;
end process;
end adder;
Kongunadu College of Engineering & Technology VHDL 10
Contd…
 Write the VHDL code for a logical gate which gives
high output only when both the inputs are high.
Library ieee;
Use ieee.std_logic_1164.all;
Entity AND_G is
Port(A,B :in bit;
Y :out bit);
End AND_G;
Architecture AND of AND_G is
Begin
Q<=A and B;
End AND;
Kongunadu College of Engineering & Technology VHDL 11
Contd…
 Write VHDL behavioral model for D flip flop.
Library ieee;
Use ieee.std_logic_1164.all;
Entity DFF is
Port(D,Clock :in std_logic;
Q :out std_logic);
End DFF;
Architecture behavior of DFF is
Begin
If Clock’event and clock=’1’ then
Q<=D;
End if;
End process;
End behavior;
Kongunadu College of Engineering & Technology VHDL 12
Conclusion & References
 Through this presentation we have learned about the basic structure of
VHDL with the syntax of all its components
 Also the behavioral model of VHDL is discussed with examples.
References:
Kongunadu College of Engineering & Technology VHDL 13
1. Mandal, “Digital Electronics Principles & Application, McGraw Hill Edu, 2013.
2. William Keitz, Digital Electronics-A Practical Approach with VHDL, Pearson,
2013.
3. Thomas L.Floyd, ‘Digital Fundamentals’, 11th edition, Pearson Education, 2015.
4. Charles H.Roth, Jr, Lizy Lizy Kurian John, ‘Digital System Design using VHDL,
Cengage,2013.
5. D.P.Kothari,J.S.Dhillon, ‘Digital circuits and Design’,Pearson Education, 2016.
6. A.P.Godse., Dr.D.A.Godse, ‘Digital Logic Circuits’ , Technical Publications Third
Edition 2016
7. Other Web Sources

More Related Content

What's hot

Verilog Tasks & Functions
Verilog Tasks & FunctionsVerilog Tasks & Functions
Verilog Tasks & Functionsanand hd
 
Day2 Verilog HDL Basic
Day2 Verilog HDL BasicDay2 Verilog HDL Basic
Day2 Verilog HDL BasicRon Liu
 
Overview of digital design with Verilog HDL
Overview of digital design with Verilog HDLOverview of digital design with Verilog HDL
Overview of digital design with Verilog HDLanand hd
 
encoder and decoder in digital electronics
encoder and decoder in digital electronicsencoder and decoder in digital electronics
encoder and decoder in digital electronicsvikram rajpurohit
 
Introduction to VHDL
Introduction to VHDLIntroduction to VHDL
Introduction to VHDLMohamed Samy
 
VHDL- gate level modelling
VHDL- gate level modellingVHDL- gate level modelling
VHDL- gate level modellingVandanaPagar1
 
Verilog tutorial
Verilog tutorialVerilog tutorial
Verilog tutorialraju reddy
 
Digital Electronics Notes
Digital Electronics Notes Digital Electronics Notes
Digital Electronics Notes Srikrishna Thota
 
Half adder & full adder
Half adder & full adderHalf adder & full adder
Half adder & full adderGaditek
 
Modules and ports in Verilog HDL
Modules and ports in Verilog HDLModules and ports in Verilog HDL
Modules and ports in Verilog HDLanand hd
 
What are Flip Flops and Its types.
What are Flip Flops and Its types.What are Flip Flops and Its types.
What are Flip Flops and Its types.Satya P. Joshi
 

What's hot (20)

Verilog Tasks & Functions
Verilog Tasks & FunctionsVerilog Tasks & Functions
Verilog Tasks & Functions
 
Interfacing Stepper motor with 8051
Interfacing Stepper motor with 8051Interfacing Stepper motor with 8051
Interfacing Stepper motor with 8051
 
Verilog tutorial
Verilog tutorialVerilog tutorial
Verilog tutorial
 
VHDL Behavioral Description
VHDL Behavioral DescriptionVHDL Behavioral Description
VHDL Behavioral Description
 
Sequential circuits
Sequential circuitsSequential circuits
Sequential circuits
 
Day2 Verilog HDL Basic
Day2 Verilog HDL BasicDay2 Verilog HDL Basic
Day2 Verilog HDL Basic
 
Verilog
VerilogVerilog
Verilog
 
Overview of digital design with Verilog HDL
Overview of digital design with Verilog HDLOverview of digital design with Verilog HDL
Overview of digital design with Verilog HDL
 
Verilog lab manual (ECAD and VLSI Lab)
Verilog lab manual (ECAD and VLSI Lab)Verilog lab manual (ECAD and VLSI Lab)
Verilog lab manual (ECAD and VLSI Lab)
 
encoder and decoder in digital electronics
encoder and decoder in digital electronicsencoder and decoder in digital electronics
encoder and decoder in digital electronics
 
Introduction to VHDL
Introduction to VHDLIntroduction to VHDL
Introduction to VHDL
 
VHDL- gate level modelling
VHDL- gate level modellingVHDL- gate level modelling
VHDL- gate level modelling
 
Verilog tutorial
Verilog tutorialVerilog tutorial
Verilog tutorial
 
Don't care conditions
Don't care conditionsDon't care conditions
Don't care conditions
 
Digital Electronics Notes
Digital Electronics Notes Digital Electronics Notes
Digital Electronics Notes
 
Half adder & full adder
Half adder & full adderHalf adder & full adder
Half adder & full adder
 
Modules and ports in Verilog HDL
Modules and ports in Verilog HDLModules and ports in Verilog HDL
Modules and ports in Verilog HDL
 
VHDL course
VHDL courseVHDL course
VHDL course
 
What are Flip Flops and Its types.
What are Flip Flops and Its types.What are Flip Flops and Its types.
What are Flip Flops and Its types.
 
Digital electronics
Digital electronicsDigital electronics
Digital electronics
 

Similar to VHDL-Behavioral-Programs-Structure of VHDL

Similar to VHDL-Behavioral-Programs-Structure of VHDL (20)

Dica ii chapter slides
Dica ii chapter slidesDica ii chapter slides
Dica ii chapter slides
 
Vhdl introduction
Vhdl introductionVhdl introduction
Vhdl introduction
 
Basic Coding In VHDL COding
Basic Coding In VHDL COdingBasic Coding In VHDL COding
Basic Coding In VHDL COding
 
DSD
DSDDSD
DSD
 
VHDL lecture 1.ppt
VHDL lecture 1.pptVHDL lecture 1.ppt
VHDL lecture 1.ppt
 
Spdas2 vlsibput
Spdas2 vlsibputSpdas2 vlsibput
Spdas2 vlsibput
 
Vhdl 1 ppg
Vhdl 1 ppgVhdl 1 ppg
Vhdl 1 ppg
 
Summer training vhdl
Summer training vhdlSummer training vhdl
Summer training vhdl
 
Summer training vhdl
Summer training vhdlSummer training vhdl
Summer training vhdl
 
INTRODUCTION TO VHDL
INTRODUCTION    TO    VHDLINTRODUCTION    TO    VHDL
INTRODUCTION TO VHDL
 
Vhdl introduction
Vhdl introductionVhdl introduction
Vhdl introduction
 
Vhdl
VhdlVhdl
Vhdl
 
Introduction to VHDL
Introduction to VHDLIntroduction to VHDL
Introduction to VHDL
 
Summer training vhdl
Summer training vhdlSummer training vhdl
Summer training vhdl
 
How to design Programs using VHDL
How to design Programs using VHDLHow to design Programs using VHDL
How to design Programs using VHDL
 
VHDL
VHDLVHDL
VHDL
 
Digital Electronics .
Digital Electronics                                              .Digital Electronics                                              .
Digital Electronics .
 
Ece iv-fundamentals of hdl [10 ec45]-notes
Ece iv-fundamentals of hdl [10 ec45]-notesEce iv-fundamentals of hdl [10 ec45]-notes
Ece iv-fundamentals of hdl [10 ec45]-notes
 
Unit 4 Hardware Description Languages
Unit 4 Hardware Description LanguagesUnit 4 Hardware Description Languages
Unit 4 Hardware Description Languages
 
Hd6
Hd6Hd6
Hd6
 

More from Revathi Subramaniam

Inter intergrated circuits-communication protocol
Inter intergrated circuits-communication protocolInter intergrated circuits-communication protocol
Inter intergrated circuits-communication protocolRevathi Subramaniam
 
Introduction to power system analysis
Introduction to power system analysisIntroduction to power system analysis
Introduction to power system analysisRevathi Subramaniam
 
Programmable Logic Array(PLA) & Programmable Array Logic(PAL)
Programmable Logic Array(PLA) & Programmable Array Logic(PAL)Programmable Logic Array(PLA) & Programmable Array Logic(PAL)
Programmable Logic Array(PLA) & Programmable Array Logic(PAL)Revathi Subramaniam
 
Complex Programmable Logic Devices(CPLD) & Field Programmable Logic Devices (...
Complex Programmable Logic Devices(CPLD) & Field Programmable Logic Devices (...Complex Programmable Logic Devices(CPLD) & Field Programmable Logic Devices (...
Complex Programmable Logic Devices(CPLD) & Field Programmable Logic Devices (...Revathi Subramaniam
 

More from Revathi Subramaniam (20)

Introduction to embedded system
Introduction to embedded systemIntroduction to embedded system
Introduction to embedded system
 
Inter intergrated circuits-communication protocol
Inter intergrated circuits-communication protocolInter intergrated circuits-communication protocol
Inter intergrated circuits-communication protocol
 
Application of embeddd system
Application of embeddd systemApplication of embeddd system
Application of embeddd system
 
Embedded development life cycle
Embedded development life cycleEmbedded development life cycle
Embedded development life cycle
 
Adaptive cruise control acc
Adaptive cruise control accAdaptive cruise control acc
Adaptive cruise control acc
 
Unsymmetrical fault analysis
Unsymmetrical fault analysisUnsymmetrical fault analysis
Unsymmetrical fault analysis
 
Structure of power system
Structure of power systemStructure of power system
Structure of power system
 
Restructuring
RestructuringRestructuring
Restructuring
 
Power flow analysis
Power flow analysisPower flow analysis
Power flow analysis
 
Per unit analysis
Per unit analysisPer unit analysis
Per unit analysis
 
Newton raphson method
Newton raphson methodNewton raphson method
Newton raphson method
 
Introduction to power system analysis
Introduction to power system analysisIntroduction to power system analysis
Introduction to power system analysis
 
Gauss seidel method
Gauss seidel methodGauss seidel method
Gauss seidel method
 
Fault analysis
Fault analysisFault analysis
Fault analysis
 
Fault analysis using z bus
Fault analysis using z busFault analysis using z bus
Fault analysis using z bus
 
Programmable Logic Array(PLA) & Programmable Array Logic(PAL)
Programmable Logic Array(PLA) & Programmable Array Logic(PAL)Programmable Logic Array(PLA) & Programmable Array Logic(PAL)
Programmable Logic Array(PLA) & Programmable Array Logic(PAL)
 
Flip flops
Flip flopsFlip flops
Flip flops
 
Complex Programmable Logic Devices(CPLD) & Field Programmable Logic Devices (...
Complex Programmable Logic Devices(CPLD) & Field Programmable Logic Devices (...Complex Programmable Logic Devices(CPLD) & Field Programmable Logic Devices (...
Complex Programmable Logic Devices(CPLD) & Field Programmable Logic Devices (...
 
Counters
CountersCounters
Counters
 
Digital logic families
Digital logic familiesDigital logic families
Digital logic families
 

Recently uploaded

Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Dr.Costas Sachpazis
 
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Serviceranjana rawat
 
UNIT-V FMM.HYDRAULIC TURBINE - Construction and working
UNIT-V FMM.HYDRAULIC TURBINE - Construction and workingUNIT-V FMM.HYDRAULIC TURBINE - Construction and working
UNIT-V FMM.HYDRAULIC TURBINE - Construction and workingrknatarajan
 
SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )Tsuyoshi Horigome
 
Introduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptxIntroduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptxupamatechverse
 
High Profile Call Girls Dahisar Arpita 9907093804 Independent Escort Service ...
High Profile Call Girls Dahisar Arpita 9907093804 Independent Escort Service ...High Profile Call Girls Dahisar Arpita 9907093804 Independent Escort Service ...
High Profile Call Girls Dahisar Arpita 9907093804 Independent Escort Service ...Call girls in Ahmedabad High profile
 
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLSMANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLSSIVASHANKAR N
 
Introduction to Multiple Access Protocol.pptx
Introduction to Multiple Access Protocol.pptxIntroduction to Multiple Access Protocol.pptx
Introduction to Multiple Access Protocol.pptxupamatechverse
 
Call Girls Service Nashik Vaishnavi 7001305949 Independent Escort Service Nashik
Call Girls Service Nashik Vaishnavi 7001305949 Independent Escort Service NashikCall Girls Service Nashik Vaishnavi 7001305949 Independent Escort Service Nashik
Call Girls Service Nashik Vaishnavi 7001305949 Independent Escort Service NashikCall Girls in Nagpur High Profile
 
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escortsranjana rawat
 
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxDecoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxJoão Esperancinha
 
Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...
Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...
Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...Christo Ananth
 
IMPLICATIONS OF THE ABOVE HOLISTIC UNDERSTANDING OF HARMONY ON PROFESSIONAL E...
IMPLICATIONS OF THE ABOVE HOLISTIC UNDERSTANDING OF HARMONY ON PROFESSIONAL E...IMPLICATIONS OF THE ABOVE HOLISTIC UNDERSTANDING OF HARMONY ON PROFESSIONAL E...
IMPLICATIONS OF THE ABOVE HOLISTIC UNDERSTANDING OF HARMONY ON PROFESSIONAL E...RajaP95
 
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝soniya singh
 
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...ranjana rawat
 
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...ranjana rawat
 
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur High Profile
 
(TARA) Talegaon Dabhade Call Girls Just Call 7001035870 [ Cash on Delivery ] ...
(TARA) Talegaon Dabhade Call Girls Just Call 7001035870 [ Cash on Delivery ] ...(TARA) Talegaon Dabhade Call Girls Just Call 7001035870 [ Cash on Delivery ] ...
(TARA) Talegaon Dabhade Call Girls Just Call 7001035870 [ Cash on Delivery ] ...ranjana rawat
 

Recently uploaded (20)

Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
 
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
 
UNIT-V FMM.HYDRAULIC TURBINE - Construction and working
UNIT-V FMM.HYDRAULIC TURBINE - Construction and workingUNIT-V FMM.HYDRAULIC TURBINE - Construction and working
UNIT-V FMM.HYDRAULIC TURBINE - Construction and working
 
SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )
 
Introduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptxIntroduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptx
 
High Profile Call Girls Dahisar Arpita 9907093804 Independent Escort Service ...
High Profile Call Girls Dahisar Arpita 9907093804 Independent Escort Service ...High Profile Call Girls Dahisar Arpita 9907093804 Independent Escort Service ...
High Profile Call Girls Dahisar Arpita 9907093804 Independent Escort Service ...
 
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLSMANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
 
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
 
Introduction to Multiple Access Protocol.pptx
Introduction to Multiple Access Protocol.pptxIntroduction to Multiple Access Protocol.pptx
Introduction to Multiple Access Protocol.pptx
 
Call Girls Service Nashik Vaishnavi 7001305949 Independent Escort Service Nashik
Call Girls Service Nashik Vaishnavi 7001305949 Independent Escort Service NashikCall Girls Service Nashik Vaishnavi 7001305949 Independent Escort Service Nashik
Call Girls Service Nashik Vaishnavi 7001305949 Independent Escort Service Nashik
 
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
 
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxDecoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
 
Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...
Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...
Call for Papers - African Journal of Biological Sciences, E-ISSN: 2663-2187, ...
 
IMPLICATIONS OF THE ABOVE HOLISTIC UNDERSTANDING OF HARMONY ON PROFESSIONAL E...
IMPLICATIONS OF THE ABOVE HOLISTIC UNDERSTANDING OF HARMONY ON PROFESSIONAL E...IMPLICATIONS OF THE ABOVE HOLISTIC UNDERSTANDING OF HARMONY ON PROFESSIONAL E...
IMPLICATIONS OF THE ABOVE HOLISTIC UNDERSTANDING OF HARMONY ON PROFESSIONAL E...
 
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
 
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
 
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
 
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
 
★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR
★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR
★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR
 
(TARA) Talegaon Dabhade Call Girls Just Call 7001035870 [ Cash on Delivery ] ...
(TARA) Talegaon Dabhade Call Girls Just Call 7001035870 [ Cash on Delivery ] ...(TARA) Talegaon Dabhade Call Girls Just Call 7001035870 [ Cash on Delivery ] ...
(TARA) Talegaon Dabhade Call Girls Just Call 7001035870 [ Cash on Delivery ] ...
 

VHDL-Behavioral-Programs-Structure of VHDL

  • 1. Review:  Structure of VHDL –explanation & Syntax  Behavioral Modelling-with examples Kongunadu College of Engineering & Technology VHDL 1
  • 2. Structure of VHDL module  The main components of a VHDL description consists of following kinds of declarations:  Package  Entity  Architecture  Configuration Kongunadu College of Engineering & Technology VHDL 2
  • 3. Entity Declaration  It gives the specification of input/output signals to external circuitry.  An entity is modeled using an entity declaration and at least one architecture body.  Entity gives interfacing between device and the other peripherals. Syntax: entity entity_name is port( signal_names: mode signal_type; signal_names: mode signal_type; . . . signal_names: mode signal_type); end entity_name; Kongunadu College of Engineering & Technology VHDL 3
  • 4. Architecture  It specifies the behavior, functionality, interconnections or relationship between inputs and outputs.  It is the actual description of the design.  An architecture consists of two portions: architecture declaration and architecture body  An architecture body specifies the internal details of the entity.  set of concurrent assignment statements(to represent dataflow)  set of interconnected components(to represent structure)  set of sequential assignment statements(to represent behavior) Kongunadu College of Engineering & Technology VHDL 4
  • 5. Contd… Syntax: Architecture architecture_name of entity_name is Declarations begin concurrent statements; sequential statements; end architecture_name; Kongunadu College of Engineering & Technology VHDL 5
  • 6. Configuration declaration  It may be used to associate particular design entities to component instances in a hierarchical design, or to associate a particular architecture to an entity. Package declaration  It is a convenient mechanism to store and share the declarations that are common across many design units  A package is represented by: Package declaration and Package body Kongunadu College of Engineering & Technology VHDL 6
  • 7. Contd… Syntax for package declaration: PACKAGE package_name IS Type declarations Subtype declarations Constant declarations Signal declarations Use clauses END package_name; Syntax for package body: Package body package_name is Subprogram bodies Subprogram declarations Type and subtype declarations File and alias declarations Use clauses End package_name; Kongunadu College of Engineering & Technology VHDL 7
  • 8. Behavioral Modelling  It is method of directly describe the behavior or the functionality of a circuit.  In VHDL, the behavior of the entity is expressed using sequentially executed, procedural code.  The key mechanism used to model the behavior of the entity is a process statement. Kongunadu College of Engineering & Technology VHDL 8
  • 9. Examples of Behavioral modelling  Write the VHDL code half adder in behavioral model. entity half_add is Port(A,B: in bit; Sum,cout:out bit); end half_add; architecture adder of half_add is begin sum<= A XOR B; cout<= A and B; end adder; Kongunadu College of Engineering & Technology VHDL 9
  • 10. Contd…  Write the VHDL code full adder in behavioral model. entity full_add is Port(A,B,Cin: in bit; Sum,cout:out bit); end full_add; architecture adder of full_add is begin Process(A,B,Cin) begin sum<= A xor B xor Cin; cout<= (A and B) or (Cin and A) or (Cin and B) ; end process; end adder; Kongunadu College of Engineering & Technology VHDL 10
  • 11. Contd…  Write the VHDL code for a logical gate which gives high output only when both the inputs are high. Library ieee; Use ieee.std_logic_1164.all; Entity AND_G is Port(A,B :in bit; Y :out bit); End AND_G; Architecture AND of AND_G is Begin Q<=A and B; End AND; Kongunadu College of Engineering & Technology VHDL 11
  • 12. Contd…  Write VHDL behavioral model for D flip flop. Library ieee; Use ieee.std_logic_1164.all; Entity DFF is Port(D,Clock :in std_logic; Q :out std_logic); End DFF; Architecture behavior of DFF is Begin If Clock’event and clock=’1’ then Q<=D; End if; End process; End behavior; Kongunadu College of Engineering & Technology VHDL 12
  • 13. Conclusion & References  Through this presentation we have learned about the basic structure of VHDL with the syntax of all its components  Also the behavioral model of VHDL is discussed with examples. References: Kongunadu College of Engineering & Technology VHDL 13 1. Mandal, “Digital Electronics Principles & Application, McGraw Hill Edu, 2013. 2. William Keitz, Digital Electronics-A Practical Approach with VHDL, Pearson, 2013. 3. Thomas L.Floyd, ‘Digital Fundamentals’, 11th edition, Pearson Education, 2015. 4. Charles H.Roth, Jr, Lizy Lizy Kurian John, ‘Digital System Design using VHDL, Cengage,2013. 5. D.P.Kothari,J.S.Dhillon, ‘Digital circuits and Design’,Pearson Education, 2016. 6. A.P.Godse., Dr.D.A.Godse, ‘Digital Logic Circuits’ , Technical Publications Third Edition 2016 7. Other Web Sources