SlideShare a Scribd company logo
SUMMER TRAINNING ON
VHDL
JAY SHARMA
15EBKEC015
Content
• What is HDL
• Why HDL
• What is VHDL
• History of VHDL
• Capabilities of VHDL
• VHDL VS C
• Design flow
• VHDL simulation cycle
• Design units in VHDL
• Type of modelling
What Is HDL
• HDL stands for Hardware Description Language
• It use describe functionality of digital circuit
Hardware Description Language
Why HDL?
• In digital more complex circuit we can not draw
because it consume lot of time and also not
scalable mean we can not drawing bigger and
bigger circuit , so we need a language who help
the describe the circuit.
• It is used to describe the structure and behavior
of electronic circuit and digital logic circuits.
• It use for simplicity and save time.
VHDL
• What is VHDL?
VHSIC very high speed integrated circuit
Hardware
Description
Language
History Of VHDL
• To provide single platform for information interchange
in 1981 DOD give task to different companies to make
common language for digital design.
• In 1983 Texas Instrument ,IBM and Intermetrics
develop version of VHDL.
• Version 7.2 of VHDL was publically released in 1985.
• VHDL was standardization by IEEE in 1987.
Capabilities Of VHDL
• Ability of verify timing of design
• Technology independent
• Support large design
• Flexible with cad tool
• Can be implement on PLD
• Use to generate GLN
VHDL VS C Language
• VHDL is concurrent language while C is sequential
language.
• VHDL is synthesizable while C is not.
• VHDL describe functionality of hardware while C
describe functionality of software.
Design Flow
Simulation Cycle
Simulation start
End simulation
Update signal Evaluate phase
Increment
Event
Design units in VHDL
• Entity declaration
• Architecture body
• Configuration declaration
• Package
Entity
• Entity is the building block of VHDL program.
• It is used to declare input and output ports.
Example:-
entity abcd is
port(a: in std_logic;
b: in std_logic;
c: out std_logic);
end abcd;
Architecture
• It contain the internal description of the entity.
Example :-
architecture myabcd of abcd is
begin
output <= input1 and input2;
end myabcd;
Note :- One entity has ‘n’ of architectures.
• Configuration declaration :-
it is used to associate architecture to an entity.
Example:-
configuration config of abcd is
for myabcd
end for;
end config;
• Package:-
It provides a mechanism to store items that can be shared
across many VHDL models. these items are function ,
components, procedure etc.
Type Of modeling
• Structural style of modeling
• Dataflow style of modeling
• Behavioral style of modeling
• Mixed style of modeling
Structural style
• As a set of interconnected components(to
represent structure)
library ieee;
use ieee.std_logic_1164.all;
entity andgate is
port(a, b: in bit;
z: out bit);
end andgate;
architecture e1 of andgate is
begin
z <= a and b;
end e1;
entity xorgate is
port(a, b: in bit;
z: out bit);
end xorgate;
architecture e2 of xorgate is
begin z <= a xor b;
end e2;
entity halfadder1 is
port(a, b: in bit;
s, c: out bit);
end halfadder1;
architecture structural of halfadder1 is
component andgate
port(a, b: in bit;
z: out bit);
end component;
component xorgate
port(a, b: in bit;
z: out bit);
end component;
begin
u1 : andgate port map(a,b,c);
u2 : xorgate port map(a,b,s);
end structural;
Data flow
• Progamming based flow of signal from input to output port.
library IEEE;
use IEEE.std_logic_1164.all;
entity and_gate is
port( A: in std_logic; B: in std_logic; Y: out std_logic);
end and_gate;
architecture df of and_gate is
begin
Y <= A and B;
end df;
Behavioral style
• As a set of sequential assignment statements (to represent behavior)
library ieee;
use ieee.std_logic_1164.all;
entity halfadder2 is
port(a, b : in bit;
s, c : out bit);
end halfadder2;
architecture behavioral of halfadder2 is
begin
p1: process(a,b)
begin
if a & b = "00" then
s <= '0'; c <= '0';
elsif a & b = "01" or a & b = "10" then
s <= '1'; c <= '0';
else s <= '0'; c <= '1';
end if;
end process;
end behavioral;
Thank you

More Related Content

What's hot

How to design Programs using VHDL
How to design Programs using VHDLHow to design Programs using VHDL
How to design Programs using VHDL
Eutectics
 
Verilog HDL Training Course
Verilog HDL Training CourseVerilog HDL Training Course
Verilog HDL Training Course
Paul Laskowski
 
Summer training vhdl
Summer training vhdlSummer training vhdl
Summer training vhdl
Arshit Rai
 
Vhdl
VhdlVhdl
Verilog
VerilogVerilog
Logic Synthesis
Logic SynthesisLogic Synthesis
Logic Synthesis
VandanaPagar1
 
An Introductory course on Verilog HDL-Verilog hdl ppr
An Introductory course on Verilog HDL-Verilog hdl pprAn Introductory course on Verilog HDL-Verilog hdl ppr
An Introductory course on Verilog HDL-Verilog hdl ppr
Prabhavathi P
 
Vhdl 1
Vhdl 1Vhdl 1
Verilog HDL - 3
Verilog HDL - 3Verilog HDL - 3
Verilog HDL - 3
Prabhavathi P
 
Introduction to VHDL
Introduction to VHDLIntroduction to VHDL
Introduction to VHDL
Yaser Kalifa
 
VHDL
VHDLVHDL
Lecture2 vhdl refresher
Lecture2 vhdl refresherLecture2 vhdl refresher
Lecture2 vhdl refresher
Nima Shafiee
 
Introduction to VHDL
Introduction to VHDLIntroduction to VHDL
Introduction to VHDL
Mohamed Samy
 
INTRODUCTION TO VHDL
INTRODUCTION    TO    VHDLINTRODUCTION    TO    VHDL
INTRODUCTION TO VHDL
karthikpunuru
 
VHDL CODE
VHDL CODE VHDL CODE
VHDL CODE
Veer Singh shakya
 
Lecture3 combinational blocks
Lecture3 combinational blocksLecture3 combinational blocks
Lecture3 combinational blocks
Nima Shafiee
 
Introduction to VHDL
Introduction to VHDLIntroduction to VHDL
VHDL Packages, Coding Styles for Arithmetic Operations and VHDL-200x Additions
VHDL Packages, Coding Styles for Arithmetic Operations and VHDL-200x AdditionsVHDL Packages, Coding Styles for Arithmetic Operations and VHDL-200x Additions
VHDL Packages, Coding Styles for Arithmetic Operations and VHDL-200x Additions
Amal Khailtash
 

What's hot (20)

How to design Programs using VHDL
How to design Programs using VHDLHow to design Programs using VHDL
How to design Programs using VHDL
 
Verilog HDL Training Course
Verilog HDL Training CourseVerilog HDL Training Course
Verilog HDL Training Course
 
Summer training vhdl
Summer training vhdlSummer training vhdl
Summer training vhdl
 
Vhdl
VhdlVhdl
Vhdl
 
Verilog
VerilogVerilog
Verilog
 
Logic Synthesis
Logic SynthesisLogic Synthesis
Logic Synthesis
 
An Introductory course on Verilog HDL-Verilog hdl ppr
An Introductory course on Verilog HDL-Verilog hdl pprAn Introductory course on Verilog HDL-Verilog hdl ppr
An Introductory course on Verilog HDL-Verilog hdl ppr
 
Vhdl 1
Vhdl 1Vhdl 1
Vhdl 1
 
Verilog HDL - 3
Verilog HDL - 3Verilog HDL - 3
Verilog HDL - 3
 
Introduction to VHDL
Introduction to VHDLIntroduction to VHDL
Introduction to VHDL
 
VHDL
VHDLVHDL
VHDL
 
Lecture2 vhdl refresher
Lecture2 vhdl refresherLecture2 vhdl refresher
Lecture2 vhdl refresher
 
Introduction to VHDL
Introduction to VHDLIntroduction to VHDL
Introduction to VHDL
 
INTRODUCTION TO VHDL
INTRODUCTION    TO    VHDLINTRODUCTION    TO    VHDL
INTRODUCTION TO VHDL
 
Spdas2 vlsibput
Spdas2 vlsibputSpdas2 vlsibput
Spdas2 vlsibput
 
VHDL CODE
VHDL CODE VHDL CODE
VHDL CODE
 
Lecture3 combinational blocks
Lecture3 combinational blocksLecture3 combinational blocks
Lecture3 combinational blocks
 
Verilog
VerilogVerilog
Verilog
 
Introduction to VHDL
Introduction to VHDLIntroduction to VHDL
Introduction to VHDL
 
VHDL Packages, Coding Styles for Arithmetic Operations and VHDL-200x Additions
VHDL Packages, Coding Styles for Arithmetic Operations and VHDL-200x AdditionsVHDL Packages, Coding Styles for Arithmetic Operations and VHDL-200x Additions
VHDL Packages, Coding Styles for Arithmetic Operations and VHDL-200x Additions
 

Similar to VHDL summer training (ppt)

VHDL_VIKAS.pptx
VHDL_VIKAS.pptxVHDL_VIKAS.pptx
VHDL_VIKAS.pptx
ABHISHEKJHA176786
 
Dica ii chapter slides
Dica ii chapter slidesDica ii chapter slides
Dica ii chapter slides
SIVA NAGENDRA REDDY
 
L6_Slides_vhdl coures temporary hair dye for dark hair
L6_Slides_vhdl coures temporary hair dye for dark hairL6_Slides_vhdl coures temporary hair dye for dark hair
L6_Slides_vhdl coures temporary hair dye for dark hair
loyad20119
 
Digital principle and computer design Presentation (1).pptx
Digital principle and computer design Presentation (1).pptxDigital principle and computer design Presentation (1).pptx
Digital principle and computer design Presentation (1).pptx
MalligaarjunanN
 
Vhdl introduction
Vhdl introductionVhdl introduction
Vhdl introduction
Dhaval Shukla
 
Verilog
VerilogVerilog
Verilog
Mohamed Rayan
 
VHDL-PRESENTATION.ppt
VHDL-PRESENTATION.pptVHDL-PRESENTATION.ppt
VHDL-PRESENTATION.ppt
Dr.YNM
 
Fpga 1
Fpga 1Fpga 1
Summer training vhdl
Summer training vhdlSummer training vhdl
Summer training vhdl
Arshit Rai
 
Vhdl programming
Vhdl programmingVhdl programming
Vhdl programming
Yogesh Mashalkar
 
Session 02 _rtl_design_with_vhdl 101
Session 02 _rtl_design_with_vhdl 101Session 02 _rtl_design_with_vhdl 101
Session 02 _rtl_design_with_vhdl 101
Mahmoud Abdellatif
 
hardware description language power point presentation
hardware description language power point presentationhardware description language power point presentation
hardware description language power point presentation
dhananjeyanrece
 
Summer training vhdl
Summer training vhdlSummer training vhdl
Summer training vhdl
Arshit Rai
 
Summer training vhdl
Summer training vhdlSummer training vhdl
Summer training vhdl
Arshit Rai
 
Session1
Session1Session1
Session1
omarAbdelrhman2
 
Vhd lhigh2003
Vhd lhigh2003Vhd lhigh2003
Vhd lhigh2003gkumawat
 
vhdlTutorial.ppt . digital principal and computer design
vhdlTutorial.ppt . digital principal and computer designvhdlTutorial.ppt . digital principal and computer design
vhdlTutorial.ppt . digital principal and computer design
keerthikagovindasamy
 
vlsi introduction to hdl and its typesunit-1.pptx
vlsi introduction to hdl and its typesunit-1.pptxvlsi introduction to hdl and its typesunit-1.pptx
vlsi introduction to hdl and its typesunit-1.pptx
iconicyt2
 

Similar to VHDL summer training (ppt) (20)

Vhdl new
Vhdl newVhdl new
Vhdl new
 
VHDL_VIKAS.pptx
VHDL_VIKAS.pptxVHDL_VIKAS.pptx
VHDL_VIKAS.pptx
 
Dica ii chapter slides
Dica ii chapter slidesDica ii chapter slides
Dica ii chapter slides
 
L6_Slides_vhdl coures temporary hair dye for dark hair
L6_Slides_vhdl coures temporary hair dye for dark hairL6_Slides_vhdl coures temporary hair dye for dark hair
L6_Slides_vhdl coures temporary hair dye for dark hair
 
Digital principle and computer design Presentation (1).pptx
Digital principle and computer design Presentation (1).pptxDigital principle and computer design Presentation (1).pptx
Digital principle and computer design Presentation (1).pptx
 
Vhdl introduction
Vhdl introductionVhdl introduction
Vhdl introduction
 
Verilog
VerilogVerilog
Verilog
 
VHDL-PRESENTATION.ppt
VHDL-PRESENTATION.pptVHDL-PRESENTATION.ppt
VHDL-PRESENTATION.ppt
 
Fpga 1
Fpga 1Fpga 1
Fpga 1
 
Summer training vhdl
Summer training vhdlSummer training vhdl
Summer training vhdl
 
Vhdl programming
Vhdl programmingVhdl programming
Vhdl programming
 
Session 02 _rtl_design_with_vhdl 101
Session 02 _rtl_design_with_vhdl 101Session 02 _rtl_design_with_vhdl 101
Session 02 _rtl_design_with_vhdl 101
 
hardware description language power point presentation
hardware description language power point presentationhardware description language power point presentation
hardware description language power point presentation
 
Summer training vhdl
Summer training vhdlSummer training vhdl
Summer training vhdl
 
Summer training vhdl
Summer training vhdlSummer training vhdl
Summer training vhdl
 
Embedded system
Embedded systemEmbedded system
Embedded system
 
Session1
Session1Session1
Session1
 
Vhd lhigh2003
Vhd lhigh2003Vhd lhigh2003
Vhd lhigh2003
 
vhdlTutorial.ppt . digital principal and computer design
vhdlTutorial.ppt . digital principal and computer designvhdlTutorial.ppt . digital principal and computer design
vhdlTutorial.ppt . digital principal and computer design
 
vlsi introduction to hdl and its typesunit-1.pptx
vlsi introduction to hdl and its typesunit-1.pptxvlsi introduction to hdl and its typesunit-1.pptx
vlsi introduction to hdl and its typesunit-1.pptx
 

Recently uploaded

Railway Signalling Principles Edition 3.pdf
Railway Signalling Principles Edition 3.pdfRailway Signalling Principles Edition 3.pdf
Railway Signalling Principles Edition 3.pdf
TeeVichai
 
TECHNICAL TRAINING MANUAL GENERAL FAMILIARIZATION COURSE
TECHNICAL TRAINING MANUAL   GENERAL FAMILIARIZATION COURSETECHNICAL TRAINING MANUAL   GENERAL FAMILIARIZATION COURSE
TECHNICAL TRAINING MANUAL GENERAL FAMILIARIZATION COURSE
DuvanRamosGarzon1
 
LIGA(E)11111111111111111111111111111111111111111.ppt
LIGA(E)11111111111111111111111111111111111111111.pptLIGA(E)11111111111111111111111111111111111111111.ppt
LIGA(E)11111111111111111111111111111111111111111.ppt
ssuser9bd3ba
 
ASME IX(9) 2007 Full Version .pdf
ASME IX(9)  2007 Full Version       .pdfASME IX(9)  2007 Full Version       .pdf
ASME IX(9) 2007 Full Version .pdf
AhmedHussein950959
 
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
obonagu
 
HYDROPOWER - Hydroelectric power generation
HYDROPOWER - Hydroelectric power generationHYDROPOWER - Hydroelectric power generation
HYDROPOWER - Hydroelectric power generation
Robbie Edward Sayers
 
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
Amil Baba Dawood bangali
 
Sachpazis:Terzaghi Bearing Capacity Estimation in simple terms with Calculati...
Sachpazis:Terzaghi Bearing Capacity Estimation in simple terms with Calculati...Sachpazis:Terzaghi Bearing Capacity Estimation in simple terms with Calculati...
Sachpazis:Terzaghi Bearing Capacity Estimation in simple terms with Calculati...
Dr.Costas Sachpazis
 
Final project report on grocery store management system..pdf
Final project report on grocery store management system..pdfFinal project report on grocery store management system..pdf
Final project report on grocery store management system..pdf
Kamal Acharya
 
addressing modes in computer architecture
addressing modes  in computer architectureaddressing modes  in computer architecture
addressing modes in computer architecture
ShahidSultan24
 
Gen AI Study Jams _ For the GDSC Leads in India.pdf
Gen AI Study Jams _ For the GDSC Leads in India.pdfGen AI Study Jams _ For the GDSC Leads in India.pdf
Gen AI Study Jams _ For the GDSC Leads in India.pdf
gdsczhcet
 
Quality defects in TMT Bars, Possible causes and Potential Solutions.
Quality defects in TMT Bars, Possible causes and Potential Solutions.Quality defects in TMT Bars, Possible causes and Potential Solutions.
Quality defects in TMT Bars, Possible causes and Potential Solutions.
PrashantGoswami42
 
CME397 Surface Engineering- Professional Elective
CME397 Surface Engineering- Professional ElectiveCME397 Surface Engineering- Professional Elective
CME397 Surface Engineering- Professional Elective
karthi keyan
 
COLLEGE BUS MANAGEMENT SYSTEM PROJECT REPORT.pdf
COLLEGE BUS MANAGEMENT SYSTEM PROJECT REPORT.pdfCOLLEGE BUS MANAGEMENT SYSTEM PROJECT REPORT.pdf
COLLEGE BUS MANAGEMENT SYSTEM PROJECT REPORT.pdf
Kamal Acharya
 
Cosmetic shop management system project report.pdf
Cosmetic shop management system project report.pdfCosmetic shop management system project report.pdf
Cosmetic shop management system project report.pdf
Kamal Acharya
 
Democratizing Fuzzing at Scale by Abhishek Arya
Democratizing Fuzzing at Scale by Abhishek AryaDemocratizing Fuzzing at Scale by Abhishek Arya
Democratizing Fuzzing at Scale by Abhishek Arya
abh.arya
 
weather web application report.pdf
weather web application report.pdfweather web application report.pdf
weather web application report.pdf
Pratik Pawar
 
Standard Reomte Control Interface - Neometrix
Standard Reomte Control Interface - NeometrixStandard Reomte Control Interface - Neometrix
Standard Reomte Control Interface - Neometrix
Neometrix_Engineering_Pvt_Ltd
 
Hybrid optimization of pumped hydro system and solar- Engr. Abdul-Azeez.pdf
Hybrid optimization of pumped hydro system and solar- Engr. Abdul-Azeez.pdfHybrid optimization of pumped hydro system and solar- Engr. Abdul-Azeez.pdf
Hybrid optimization of pumped hydro system and solar- Engr. Abdul-Azeez.pdf
fxintegritypublishin
 
ethical hacking-mobile hacking methods.ppt
ethical hacking-mobile hacking methods.pptethical hacking-mobile hacking methods.ppt
ethical hacking-mobile hacking methods.ppt
Jayaprasanna4
 

Recently uploaded (20)

Railway Signalling Principles Edition 3.pdf
Railway Signalling Principles Edition 3.pdfRailway Signalling Principles Edition 3.pdf
Railway Signalling Principles Edition 3.pdf
 
TECHNICAL TRAINING MANUAL GENERAL FAMILIARIZATION COURSE
TECHNICAL TRAINING MANUAL   GENERAL FAMILIARIZATION COURSETECHNICAL TRAINING MANUAL   GENERAL FAMILIARIZATION COURSE
TECHNICAL TRAINING MANUAL GENERAL FAMILIARIZATION COURSE
 
LIGA(E)11111111111111111111111111111111111111111.ppt
LIGA(E)11111111111111111111111111111111111111111.pptLIGA(E)11111111111111111111111111111111111111111.ppt
LIGA(E)11111111111111111111111111111111111111111.ppt
 
ASME IX(9) 2007 Full Version .pdf
ASME IX(9)  2007 Full Version       .pdfASME IX(9)  2007 Full Version       .pdf
ASME IX(9) 2007 Full Version .pdf
 
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
 
HYDROPOWER - Hydroelectric power generation
HYDROPOWER - Hydroelectric power generationHYDROPOWER - Hydroelectric power generation
HYDROPOWER - Hydroelectric power generation
 
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
 
Sachpazis:Terzaghi Bearing Capacity Estimation in simple terms with Calculati...
Sachpazis:Terzaghi Bearing Capacity Estimation in simple terms with Calculati...Sachpazis:Terzaghi Bearing Capacity Estimation in simple terms with Calculati...
Sachpazis:Terzaghi Bearing Capacity Estimation in simple terms with Calculati...
 
Final project report on grocery store management system..pdf
Final project report on grocery store management system..pdfFinal project report on grocery store management system..pdf
Final project report on grocery store management system..pdf
 
addressing modes in computer architecture
addressing modes  in computer architectureaddressing modes  in computer architecture
addressing modes in computer architecture
 
Gen AI Study Jams _ For the GDSC Leads in India.pdf
Gen AI Study Jams _ For the GDSC Leads in India.pdfGen AI Study Jams _ For the GDSC Leads in India.pdf
Gen AI Study Jams _ For the GDSC Leads in India.pdf
 
Quality defects in TMT Bars, Possible causes and Potential Solutions.
Quality defects in TMT Bars, Possible causes and Potential Solutions.Quality defects in TMT Bars, Possible causes and Potential Solutions.
Quality defects in TMT Bars, Possible causes and Potential Solutions.
 
CME397 Surface Engineering- Professional Elective
CME397 Surface Engineering- Professional ElectiveCME397 Surface Engineering- Professional Elective
CME397 Surface Engineering- Professional Elective
 
COLLEGE BUS MANAGEMENT SYSTEM PROJECT REPORT.pdf
COLLEGE BUS MANAGEMENT SYSTEM PROJECT REPORT.pdfCOLLEGE BUS MANAGEMENT SYSTEM PROJECT REPORT.pdf
COLLEGE BUS MANAGEMENT SYSTEM PROJECT REPORT.pdf
 
Cosmetic shop management system project report.pdf
Cosmetic shop management system project report.pdfCosmetic shop management system project report.pdf
Cosmetic shop management system project report.pdf
 
Democratizing Fuzzing at Scale by Abhishek Arya
Democratizing Fuzzing at Scale by Abhishek AryaDemocratizing Fuzzing at Scale by Abhishek Arya
Democratizing Fuzzing at Scale by Abhishek Arya
 
weather web application report.pdf
weather web application report.pdfweather web application report.pdf
weather web application report.pdf
 
Standard Reomte Control Interface - Neometrix
Standard Reomte Control Interface - NeometrixStandard Reomte Control Interface - Neometrix
Standard Reomte Control Interface - Neometrix
 
Hybrid optimization of pumped hydro system and solar- Engr. Abdul-Azeez.pdf
Hybrid optimization of pumped hydro system and solar- Engr. Abdul-Azeez.pdfHybrid optimization of pumped hydro system and solar- Engr. Abdul-Azeez.pdf
Hybrid optimization of pumped hydro system and solar- Engr. Abdul-Azeez.pdf
 
ethical hacking-mobile hacking methods.ppt
ethical hacking-mobile hacking methods.pptethical hacking-mobile hacking methods.ppt
ethical hacking-mobile hacking methods.ppt
 

VHDL summer training (ppt)

  • 1. SUMMER TRAINNING ON VHDL JAY SHARMA 15EBKEC015
  • 2. Content • What is HDL • Why HDL • What is VHDL • History of VHDL • Capabilities of VHDL • VHDL VS C • Design flow • VHDL simulation cycle • Design units in VHDL • Type of modelling
  • 3. What Is HDL • HDL stands for Hardware Description Language • It use describe functionality of digital circuit Hardware Description Language
  • 4. Why HDL? • In digital more complex circuit we can not draw because it consume lot of time and also not scalable mean we can not drawing bigger and bigger circuit , so we need a language who help the describe the circuit. • It is used to describe the structure and behavior of electronic circuit and digital logic circuits. • It use for simplicity and save time.
  • 5. VHDL • What is VHDL? VHSIC very high speed integrated circuit Hardware Description Language
  • 6. History Of VHDL • To provide single platform for information interchange in 1981 DOD give task to different companies to make common language for digital design. • In 1983 Texas Instrument ,IBM and Intermetrics develop version of VHDL. • Version 7.2 of VHDL was publically released in 1985. • VHDL was standardization by IEEE in 1987.
  • 7. Capabilities Of VHDL • Ability of verify timing of design • Technology independent • Support large design • Flexible with cad tool • Can be implement on PLD • Use to generate GLN
  • 8. VHDL VS C Language • VHDL is concurrent language while C is sequential language. • VHDL is synthesizable while C is not. • VHDL describe functionality of hardware while C describe functionality of software.
  • 10. Simulation Cycle Simulation start End simulation Update signal Evaluate phase Increment Event
  • 11. Design units in VHDL • Entity declaration • Architecture body • Configuration declaration • Package
  • 12. Entity • Entity is the building block of VHDL program. • It is used to declare input and output ports. Example:- entity abcd is port(a: in std_logic; b: in std_logic; c: out std_logic); end abcd;
  • 13. Architecture • It contain the internal description of the entity. Example :- architecture myabcd of abcd is begin output <= input1 and input2; end myabcd; Note :- One entity has ‘n’ of architectures.
  • 14. • Configuration declaration :- it is used to associate architecture to an entity. Example:- configuration config of abcd is for myabcd end for; end config; • Package:- It provides a mechanism to store items that can be shared across many VHDL models. these items are function , components, procedure etc.
  • 15. Type Of modeling • Structural style of modeling • Dataflow style of modeling • Behavioral style of modeling • Mixed style of modeling
  • 16. Structural style • As a set of interconnected components(to represent structure) library ieee; use ieee.std_logic_1164.all; entity andgate is port(a, b: in bit; z: out bit); end andgate; architecture e1 of andgate is begin z <= a and b; end e1; entity xorgate is port(a, b: in bit; z: out bit); end xorgate; architecture e2 of xorgate is begin z <= a xor b; end e2; entity halfadder1 is port(a, b: in bit; s, c: out bit); end halfadder1; architecture structural of halfadder1 is component andgate port(a, b: in bit; z: out bit); end component; component xorgate port(a, b: in bit; z: out bit); end component; begin u1 : andgate port map(a,b,c); u2 : xorgate port map(a,b,s); end structural;
  • 17. Data flow • Progamming based flow of signal from input to output port. library IEEE; use IEEE.std_logic_1164.all; entity and_gate is port( A: in std_logic; B: in std_logic; Y: out std_logic); end and_gate; architecture df of and_gate is begin Y <= A and B; end df;
  • 18. Behavioral style • As a set of sequential assignment statements (to represent behavior) library ieee; use ieee.std_logic_1164.all; entity halfadder2 is port(a, b : in bit; s, c : out bit); end halfadder2; architecture behavioral of halfadder2 is begin p1: process(a,b) begin if a & b = "00" then s <= '0'; c <= '0'; elsif a & b = "01" or a & b = "10" then s <= '1'; c <= '0'; else s <= '0'; c <= '1'; end if; end process; end behavioral;