SlideShare a Scribd company logo
ASIC DESIGN 
MODULE - III 
ASIC CONSTUCTION : 
PLACEMENT 
PRESENTED BY 
HARSHADA BURUTE.
Contents 
 Introduction 
 Definition of placement 
 Goals and objectives 
 Placement layout 
 Types of placements 
 Placement steps 
 Placement trends(solutions) 
 References
Introduction 
 Placement is an essential step in physical design flow since it assigns exact locations 
for various circuit components within the chips core area. 
 A placer takes a given synthesized circuit netlist together with a technology library and 
produces a valid placement layout. 
 Decide the locations of cells in a block. 
 Selects the specific location for each logic block in the FPGA, while trying to 
minimize the total length of interconnect required. 
 Placement is much more suited to automation than floorplanning. 
 Placement is a key step in physical design. 
 Placement placed in ASIC design flow step no. 6 in physical design.
Placement position in ASIC design flow
Definition of placement 
 Exact placement of the 
modules (modules can 
be gates, standard cells, 
macros…). 
 The general goal is to 
minimize the total area 
and interconnect cost.
Placement 
 There are various types of placements. 
 System-level placement: Place all the PCBs together such that Area 
occupied is minimum and Heat dissipation is within limits. 
 Board-level placement: All the chips have to be placed on a PCB. Area is 
fixed. All modules of rectangular shape. The objective is to, minimize the 
number of routing layers and Meet system performance requirements. 
 Chip-level placement: Normally placement carried out along with pin 
assignment
Placement goals & objectives 
 Goals 
 To arrange all the logic cells within the flexible blocks on a chip. 
 Objectives 
 Minimize all the critical net delays 
 Minimize power dissipation 
 Minimize crosstalk between signals 
 Minimize the interconnect congestion 
 Guarantee the router can complete the router step 
 Minimize the total estimated interconnect length 
 Specific timing requirement for critical nets
PLACEMENT 
LAYOUT AREA 
ROW CONSIST OF NUMBER OF SITES WHICH 
CAN BE OCCUPIED BY THE CIRCUIT 
COMPONENT. 
LAYOUT AREA SPECIFIES THE FIX HEIGHT OF 
ROWS. 
STANDARD CELLS HAVE A FIXED HEIGHT 
EQUAL TO ROWS HEIGHT BUT HAVE 
VARIABLE WIDTHS. 
BLOCKS CAN HAVE PREASSIGNED 
LOCATIONS. 
THIS IS CALLED MIXED MODE PLACEMENT.
BASIC 
TECHNIQUES OF 
PLACEMENT 
GLOBAL PLACEMENT DETAILED PLACEMENT
GLOBAL PLACEMENT 
 The goal of global placement is to find well spread, ideally with 
no overlaps, placement for the given net list that attains 
required objectives such as wirelength minimization or timing 
specifications. 
 Standard cells are placed into groups such that the number of 
connections between groups is minimized. 
 This is solved through circuit partitioning.
Algorithms 
1. Simulated-annealing placers 
2. Analytical placers 
3. Min–cut placers – 
Min cut placers operate in a top-down hierarchical fashion by recursively 
partitioning a given netlist into partitions. 
Where k>1 multiway partitioning 
k=1 bisection partitioning 
k=2 quadrisection partitioning 
Divided into sub section for better results: 
i) Min cut partitioners- k-way min cut partitioning 
ii) Cut sequences- cut directions 
iii) Capturing global connectivity- useful for improve placement results.
DETAILED PLACEMENT AND LEGALIZERS 
 A placement is illegal if cells or blocks are overlaps. 
 A detailed placer takes a legal placement and improve some placement objectives like wirelength 
congestion.
DETAILED PLACEMENT AND 
LEGALIZERS 
 Goals of detailed placer and legalizers 
 Remove all overlaps, and snap cells to sites with the minimum impact on 
wirelength, timing and congestion. 
 Improve wirelength by reordering groups of cells. 
 Improve routability by carefully distributing free sites. 
Classification of detailed placement into heuristic or exact methods. 
1) Heuristic method : typically achieve good results in fast runtime. 
2) Exact method : only applicable for a few cases and usually take longer 
runtime.
PLACEMENT STEPS
Placement trends(solutions) 
 Mixed size placement 
 Simultaneously places cells and blocks. 
 Whitespace distribution 
Whitespace or free space is the percentage of placement sites not 
occupied by cells and blocks. 
 Whitespace enlarges the core layout area more than necessary for 
placement, in order to provide larger routing area. 
 Placement algorithms can allocate whitespace to improve performance 
in a number of ways including congestion reduction, overlap 
minimization, and timing improvement. 
 Placement benchmarking 
 Estimate the proper benchmark which described the performance gap 
between the reported results.
Good placement vs. Bad placement 
 Good placement 
 No congestion 
 Shorter wires 
 Less metal levels 
 Smaller delay 
 Lower power dissipation 
 Bad placement 
 Congestion 
 Longer wire lengths 
 More metal levels 
 Longer delay 
 Higher power dissipation
REFERENCES 
 Michael Smith, “Application Specific Integrated Circuits” 
Pearson Education Asia , chapter 16. 
 Andrew kahng and Reda, “digital layout – placement” ,chapter 
5, EDA for IC implementation, circuit design and process 
technology. 
 Physical design flow
ASIC DESIGN : PLACEMENT

More Related Content

What's hot

Power Gating
Power GatingPower Gating
Power Gating
Mahesh Dananjaya
 
Vlsi interview questions compilation
Vlsi interview questions compilationVlsi interview questions compilation
Vlsi interview questions compilation
Rajesh M
 
Vlsi best notes google docs
Vlsi best notes   google docsVlsi best notes   google docs
Vlsi best notes google docs
Rajesh M
 
Eco
EcoEco
Physical design
Physical design Physical design
Physical design
Manjunath hosmani
 
Vlsi Synthesis
Vlsi SynthesisVlsi Synthesis
Vlsi Synthesis
SIVA NAGENDRA REDDY
 
Timing and Design Closure in Physical Design Flows
Timing and Design Closure in Physical Design Flows Timing and Design Closure in Physical Design Flows
Timing and Design Closure in Physical Design Flows
Olivier Coudert
 
Inputs of physical design
Inputs of physical designInputs of physical design
Inputs of physical design
Kishore Sai Addanki
 
VLSI routing
VLSI routingVLSI routing
VLSI routing
Naveen Kumar
 
Powerplanning
PowerplanningPowerplanning
Powerplanning
VLSI SYSTEM Design
 
Clock Tree Timing 101
Clock Tree Timing 101Clock Tree Timing 101
Clock Tree Timing 101
Silicon Labs
 
Physical design
Physical design Physical design
Physical design
Mantra VLSI
 
Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)
shaik sharief
 
Standard-Cells.pdf
Standard-Cells.pdfStandard-Cells.pdf
Standard-Cells.pdf
Ahmed Abdelazeem
 
Physical design-complete
Physical design-completePhysical design-complete
Physical design-complete
Murali Rai
 
Vlsi physical design
Vlsi physical designVlsi physical design
Vlsi physical designI World Tech
 
Flip Chip technology
Flip Chip technologyFlip Chip technology
Flip Chip technology
Mantra VLSI
 
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
VLSI SYSTEM Design
 
optimazation of standard cell layout
optimazation of standard cell layoutoptimazation of standard cell layout
optimazation of standard cell layoutE ER Yash nagaria
 

What's hot (20)

Power Gating
Power GatingPower Gating
Power Gating
 
Vlsi interview questions compilation
Vlsi interview questions compilationVlsi interview questions compilation
Vlsi interview questions compilation
 
Vlsi best notes google docs
Vlsi best notes   google docsVlsi best notes   google docs
Vlsi best notes google docs
 
Eco
EcoEco
Eco
 
Physical design
Physical design Physical design
Physical design
 
Vlsi Synthesis
Vlsi SynthesisVlsi Synthesis
Vlsi Synthesis
 
Timing and Design Closure in Physical Design Flows
Timing and Design Closure in Physical Design Flows Timing and Design Closure in Physical Design Flows
Timing and Design Closure in Physical Design Flows
 
Inputs of physical design
Inputs of physical designInputs of physical design
Inputs of physical design
 
VLSI routing
VLSI routingVLSI routing
VLSI routing
 
Powerplanning
PowerplanningPowerplanning
Powerplanning
 
Clock Tree Timing 101
Clock Tree Timing 101Clock Tree Timing 101
Clock Tree Timing 101
 
Physical design
Physical design Physical design
Physical design
 
Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)
 
Standard-Cells.pdf
Standard-Cells.pdfStandard-Cells.pdf
Standard-Cells.pdf
 
ASIC DESIGN FLOW
ASIC DESIGN FLOWASIC DESIGN FLOW
ASIC DESIGN FLOW
 
Physical design-complete
Physical design-completePhysical design-complete
Physical design-complete
 
Vlsi physical design
Vlsi physical designVlsi physical design
Vlsi physical design
 
Flip Chip technology
Flip Chip technologyFlip Chip technology
Flip Chip technology
 
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
 
optimazation of standard cell layout
optimazation of standard cell layoutoptimazation of standard cell layout
optimazation of standard cell layout
 

Viewers also liked

ASIC Design and Implementation
ASIC Design and ImplementationASIC Design and Implementation
ASIC Design and Implementation
skerlj
 
Asic backend design
Asic backend designAsic backend design
Asic backend design
kbipeen
 
Asic design lect1 2 august 28 2012
Asic design lect1 2 august 28 2012Asic design lect1 2 august 28 2012
Asic design lect1 2 august 28 2012babak danyal
 
Asics Final Presentation
Asics Final PresentationAsics Final Presentation
Asics Final Presentation
pstrada
 
Asic
AsicAsic
GPU - An Introduction
GPU - An IntroductionGPU - An Introduction
GPU - An Introduction
Dhan V Sagar
 
FCB Planning Project
FCB Planning ProjectFCB Planning Project
FCB Planning Project
CaseCarp
 
Graphics Processing Unit - GPU
Graphics Processing Unit - GPUGraphics Processing Unit - GPU
Graphics Processing Unit - GPU
Chetan Gole
 
Graphics processing unit (GPU)
Graphics processing unit (GPU)Graphics processing unit (GPU)
Graphics processing unit (GPU)
Amal R
 
Graphics processing unit ppt
Graphics processing unit pptGraphics processing unit ppt
Graphics processing unit ppt
Sandeep Singh
 
Michael john sebastian smith application-specific integrated circuits-addison...
Michael john sebastian smith application-specific integrated circuits-addison...Michael john sebastian smith application-specific integrated circuits-addison...
Michael john sebastian smith application-specific integrated circuits-addison...
Đình Khanh Nguyễn
 
Programmable asic i/o cells
Programmable asic i/o cellsProgrammable asic i/o cells
Programmable asic i/o cells
Yalagoud Patil
 
Embedded system
Embedded systemEmbedded system
Embedded system12lakshmi
 
Companies handling VLSI and ASIC design
Companies handling VLSI and ASIC designCompanies handling VLSI and ASIC design
Companies handling VLSI and ASIC designSubash John
 
Swindon the making of an asic
Swindon the making of an asicSwindon the making of an asic
Swindon the making of an asic
SwindinSilicon
 
Computer Aided Design: Global Routing
Computer Aided Design:  Global RoutingComputer Aided Design:  Global Routing
Computer Aided Design: Global Routing
Team-VLSI-ITMU
 
Triad Semiconductor Analog and Mixed Signal ASIC Company Overview
Triad Semiconductor Analog and Mixed Signal ASIC Company OverviewTriad Semiconductor Analog and Mixed Signal ASIC Company Overview
Triad Semiconductor Analog and Mixed Signal ASIC Company Overview
Triad Semiconductor
 

Viewers also liked (20)

ASIC Design and Implementation
ASIC Design and ImplementationASIC Design and Implementation
ASIC Design and Implementation
 
ASIC
ASICASIC
ASIC
 
Asic backend design
Asic backend designAsic backend design
Asic backend design
 
Asic design lect1 2 august 28 2012
Asic design lect1 2 august 28 2012Asic design lect1 2 august 28 2012
Asic design lect1 2 august 28 2012
 
Asics Final Presentation
Asics Final PresentationAsics Final Presentation
Asics Final Presentation
 
Asic
AsicAsic
Asic
 
Asic pd
Asic pdAsic pd
Asic pd
 
GPU - An Introduction
GPU - An IntroductionGPU - An Introduction
GPU - An Introduction
 
FCB Planning Project
FCB Planning ProjectFCB Planning Project
FCB Planning Project
 
Graphics Processing Unit - GPU
Graphics Processing Unit - GPUGraphics Processing Unit - GPU
Graphics Processing Unit - GPU
 
Graphics processing unit (GPU)
Graphics processing unit (GPU)Graphics processing unit (GPU)
Graphics processing unit (GPU)
 
Graphics processing unit ppt
Graphics processing unit pptGraphics processing unit ppt
Graphics processing unit ppt
 
Michael john sebastian smith application-specific integrated circuits-addison...
Michael john sebastian smith application-specific integrated circuits-addison...Michael john sebastian smith application-specific integrated circuits-addison...
Michael john sebastian smith application-specific integrated circuits-addison...
 
Programmable asic i/o cells
Programmable asic i/o cellsProgrammable asic i/o cells
Programmable asic i/o cells
 
Embedded system
Embedded systemEmbedded system
Embedded system
 
Companies handling VLSI and ASIC design
Companies handling VLSI and ASIC designCompanies handling VLSI and ASIC design
Companies handling VLSI and ASIC design
 
Swindon the making of an asic
Swindon the making of an asicSwindon the making of an asic
Swindon the making of an asic
 
Vlsi
VlsiVlsi
Vlsi
 
Computer Aided Design: Global Routing
Computer Aided Design:  Global RoutingComputer Aided Design:  Global Routing
Computer Aided Design: Global Routing
 
Triad Semiconductor Analog and Mixed Signal ASIC Company Overview
Triad Semiconductor Analog and Mixed Signal ASIC Company OverviewTriad Semiconductor Analog and Mixed Signal ASIC Company Overview
Triad Semiconductor Analog and Mixed Signal ASIC Company Overview
 

Similar to ASIC DESIGN : PLACEMENT

Vlsi physical design (Back End Process)
Vlsi physical design (Back End Process)Vlsi physical design (Back End Process)
Vlsi physical design (Back End Process)
CHENCHU CHANDU PRASANTH NADELLA
 
Placement and routing in full custom physical design
Placement and routing in full custom physical designPlacement and routing in full custom physical design
Placement and routing in full custom physical designDeiptii Das
 
Full IC Flow.docx
Full IC Flow.docxFull IC Flow.docx
Full IC Flow.docx
VisweswaraRaoSamoju
 
ASIC DESIGN OF MINI-STEREO DIGITAL AUDIO PROCESSOR UNDER SMIC 180NM TECHNOLOGY
ASIC DESIGN OF MINI-STEREO DIGITAL AUDIO PROCESSOR UNDER SMIC 180NM TECHNOLOGYASIC DESIGN OF MINI-STEREO DIGITAL AUDIO PROCESSOR UNDER SMIC 180NM TECHNOLOGY
ASIC DESIGN OF MINI-STEREO DIGITAL AUDIO PROCESSOR UNDER SMIC 180NM TECHNOLOGY
Ilango Jeyasubramanian
 
Trends and challenges in IP based SOC design
Trends and challenges in IP based SOC designTrends and challenges in IP based SOC design
Trends and challenges in IP based SOC design
AishwaryaRavishankar8
 
VLSI Design- Guru.ppt
VLSI Design- Guru.pptVLSI Design- Guru.ppt
VLSI Design- Guru.ppt
Ram Pavithra Guru
 
Vlsi physical design-notes
Vlsi physical design-notesVlsi physical design-notes
Vlsi physical design-notes
Dr.YNM
 
Digital standard cell library Design flow
Digital standard cell library Design flowDigital standard cell library Design flow
Digital standard cell library Design flow
ijsrd.com
 
VLSI UNIT-1.1.pdf.ppt
VLSI UNIT-1.1.pdf.pptVLSI UNIT-1.1.pdf.ppt
VLSI UNIT-1.1.pdf.ppt
rajukolluri
 
Floorplanning in physical design
Floorplanning in physical designFloorplanning in physical design
Floorplanning in physical design
Murali Rai
 
Design of fault tolerant algorithm for network on chip router using field pr...
Design of fault tolerant algorithm for network on chip router  using field pr...Design of fault tolerant algorithm for network on chip router  using field pr...
Design of fault tolerant algorithm for network on chip router using field pr...
International Journal of Reconfigurable and Embedded Systems
 
unit 1vlsi notes.pdf
unit 1vlsi notes.pdfunit 1vlsi notes.pdf
unit 1vlsi notes.pdf
AcademicICECE
 
introduction to cmos vlsi
introduction to cmos vlsi introduction to cmos vlsi
introduction to cmos vlsi
ssuser593a2d
 
UNIT-1 VLSID-MREC-ECE-Dr.TM.pptx
UNIT-1 VLSID-MREC-ECE-Dr.TM.pptxUNIT-1 VLSID-MREC-ECE-Dr.TM.pptx
UNIT-1 VLSID-MREC-ECE-Dr.TM.pptx
8885684828
 
Pd flow i
Pd flow iPd flow i
Pd flow i
Alok Kumar
 
Chapter1.slides
Chapter1.slidesChapter1.slides
Chapter1.slides
Avinash Pillai
 
Bharat gargi final project report
Bharat gargi final project reportBharat gargi final project report
Bharat gargi final project report
Bharat Biyani
 
Implementation strategies for digital ics
Implementation strategies for digital icsImplementation strategies for digital ics
Implementation strategies for digital ics
aroosa khan
 
www.ijerd.com
www.ijerd.comwww.ijerd.com
www.ijerd.com
IJERD Editor
 
Digital VLSI Design : Introduction
Digital VLSI Design : IntroductionDigital VLSI Design : Introduction
Digital VLSI Design : Introduction
Usha Mehta
 

Similar to ASIC DESIGN : PLACEMENT (20)

Vlsi physical design (Back End Process)
Vlsi physical design (Back End Process)Vlsi physical design (Back End Process)
Vlsi physical design (Back End Process)
 
Placement and routing in full custom physical design
Placement and routing in full custom physical designPlacement and routing in full custom physical design
Placement and routing in full custom physical design
 
Full IC Flow.docx
Full IC Flow.docxFull IC Flow.docx
Full IC Flow.docx
 
ASIC DESIGN OF MINI-STEREO DIGITAL AUDIO PROCESSOR UNDER SMIC 180NM TECHNOLOGY
ASIC DESIGN OF MINI-STEREO DIGITAL AUDIO PROCESSOR UNDER SMIC 180NM TECHNOLOGYASIC DESIGN OF MINI-STEREO DIGITAL AUDIO PROCESSOR UNDER SMIC 180NM TECHNOLOGY
ASIC DESIGN OF MINI-STEREO DIGITAL AUDIO PROCESSOR UNDER SMIC 180NM TECHNOLOGY
 
Trends and challenges in IP based SOC design
Trends and challenges in IP based SOC designTrends and challenges in IP based SOC design
Trends and challenges in IP based SOC design
 
VLSI Design- Guru.ppt
VLSI Design- Guru.pptVLSI Design- Guru.ppt
VLSI Design- Guru.ppt
 
Vlsi physical design-notes
Vlsi physical design-notesVlsi physical design-notes
Vlsi physical design-notes
 
Digital standard cell library Design flow
Digital standard cell library Design flowDigital standard cell library Design flow
Digital standard cell library Design flow
 
VLSI UNIT-1.1.pdf.ppt
VLSI UNIT-1.1.pdf.pptVLSI UNIT-1.1.pdf.ppt
VLSI UNIT-1.1.pdf.ppt
 
Floorplanning in physical design
Floorplanning in physical designFloorplanning in physical design
Floorplanning in physical design
 
Design of fault tolerant algorithm for network on chip router using field pr...
Design of fault tolerant algorithm for network on chip router  using field pr...Design of fault tolerant algorithm for network on chip router  using field pr...
Design of fault tolerant algorithm for network on chip router using field pr...
 
unit 1vlsi notes.pdf
unit 1vlsi notes.pdfunit 1vlsi notes.pdf
unit 1vlsi notes.pdf
 
introduction to cmos vlsi
introduction to cmos vlsi introduction to cmos vlsi
introduction to cmos vlsi
 
UNIT-1 VLSID-MREC-ECE-Dr.TM.pptx
UNIT-1 VLSID-MREC-ECE-Dr.TM.pptxUNIT-1 VLSID-MREC-ECE-Dr.TM.pptx
UNIT-1 VLSID-MREC-ECE-Dr.TM.pptx
 
Pd flow i
Pd flow iPd flow i
Pd flow i
 
Chapter1.slides
Chapter1.slidesChapter1.slides
Chapter1.slides
 
Bharat gargi final project report
Bharat gargi final project reportBharat gargi final project report
Bharat gargi final project report
 
Implementation strategies for digital ics
Implementation strategies for digital icsImplementation strategies for digital ics
Implementation strategies for digital ics
 
www.ijerd.com
www.ijerd.comwww.ijerd.com
www.ijerd.com
 
Digital VLSI Design : Introduction
Digital VLSI Design : IntroductionDigital VLSI Design : Introduction
Digital VLSI Design : Introduction
 

Recently uploaded

Sha'Carri Richardson Presentation 202345
Sha'Carri Richardson Presentation 202345Sha'Carri Richardson Presentation 202345
Sha'Carri Richardson Presentation 202345
beazzy04
 
Supporting (UKRI) OA monographs at Salford.pptx
Supporting (UKRI) OA monographs at Salford.pptxSupporting (UKRI) OA monographs at Salford.pptx
Supporting (UKRI) OA monographs at Salford.pptx
Jisc
 
Embracing GenAI - A Strategic Imperative
Embracing GenAI - A Strategic ImperativeEmbracing GenAI - A Strategic Imperative
Embracing GenAI - A Strategic Imperative
Peter Windle
 
Digital Tools and AI for Teaching Learning and Research
Digital Tools and AI for Teaching Learning and ResearchDigital Tools and AI for Teaching Learning and Research
Digital Tools and AI for Teaching Learning and Research
Vikramjit Singh
 
Unit 8 - Information and Communication Technology (Paper I).pdf
Unit 8 - Information and Communication Technology (Paper I).pdfUnit 8 - Information and Communication Technology (Paper I).pdf
Unit 8 - Information and Communication Technology (Paper I).pdf
Thiyagu K
 
Instructions for Submissions thorugh G- Classroom.pptx
Instructions for Submissions thorugh G- Classroom.pptxInstructions for Submissions thorugh G- Classroom.pptx
Instructions for Submissions thorugh G- Classroom.pptx
Jheel Barad
 
A Strategic Approach: GenAI in Education
A Strategic Approach: GenAI in EducationA Strategic Approach: GenAI in Education
A Strategic Approach: GenAI in Education
Peter Windle
 
Adversarial Attention Modeling for Multi-dimensional Emotion Regression.pdf
Adversarial Attention Modeling for Multi-dimensional Emotion Regression.pdfAdversarial Attention Modeling for Multi-dimensional Emotion Regression.pdf
Adversarial Attention Modeling for Multi-dimensional Emotion Regression.pdf
Po-Chuan Chen
 
The basics of sentences session 5pptx.pptx
The basics of sentences session 5pptx.pptxThe basics of sentences session 5pptx.pptx
The basics of sentences session 5pptx.pptx
heathfieldcps1
 
Introduction to AI for Nonprofits with Tapp Network
Introduction to AI for Nonprofits with Tapp NetworkIntroduction to AI for Nonprofits with Tapp Network
Introduction to AI for Nonprofits with Tapp Network
TechSoup
 
Acetabularia Information For Class 9 .docx
Acetabularia Information For Class 9  .docxAcetabularia Information For Class 9  .docx
Acetabularia Information For Class 9 .docx
vaibhavrinwa19
 
The Challenger.pdf DNHS Official Publication
The Challenger.pdf DNHS Official PublicationThe Challenger.pdf DNHS Official Publication
The Challenger.pdf DNHS Official Publication
Delapenabediema
 
TESDA TM1 REVIEWER FOR NATIONAL ASSESSMENT WRITTEN AND ORAL QUESTIONS WITH A...
TESDA TM1 REVIEWER  FOR NATIONAL ASSESSMENT WRITTEN AND ORAL QUESTIONS WITH A...TESDA TM1 REVIEWER  FOR NATIONAL ASSESSMENT WRITTEN AND ORAL QUESTIONS WITH A...
TESDA TM1 REVIEWER FOR NATIONAL ASSESSMENT WRITTEN AND ORAL QUESTIONS WITH A...
EugeneSaldivar
 
June 3, 2024 Anti-Semitism Letter Sent to MIT President Kornbluth and MIT Cor...
June 3, 2024 Anti-Semitism Letter Sent to MIT President Kornbluth and MIT Cor...June 3, 2024 Anti-Semitism Letter Sent to MIT President Kornbluth and MIT Cor...
June 3, 2024 Anti-Semitism Letter Sent to MIT President Kornbluth and MIT Cor...
Levi Shapiro
 
Operation Blue Star - Saka Neela Tara
Operation Blue Star   -  Saka Neela TaraOperation Blue Star   -  Saka Neela Tara
Operation Blue Star - Saka Neela Tara
Balvir Singh
 
The Roman Empire A Historical Colossus.pdf
The Roman Empire A Historical Colossus.pdfThe Roman Empire A Historical Colossus.pdf
The Roman Empire A Historical Colossus.pdf
kaushalkr1407
 
Biological Screening of Herbal Drugs in detailed.
Biological Screening of Herbal Drugs in detailed.Biological Screening of Herbal Drugs in detailed.
Biological Screening of Herbal Drugs in detailed.
Ashokrao Mane college of Pharmacy Peth-Vadgaon
 
Chapter 3 - Islamic Banking Products and Services.pptx
Chapter 3 - Islamic Banking Products and Services.pptxChapter 3 - Islamic Banking Products and Services.pptx
Chapter 3 - Islamic Banking Products and Services.pptx
Mohd Adib Abd Muin, Senior Lecturer at Universiti Utara Malaysia
 
Honest Reviews of Tim Han LMA Course Program.pptx
Honest Reviews of Tim Han LMA Course Program.pptxHonest Reviews of Tim Han LMA Course Program.pptx
Honest Reviews of Tim Han LMA Course Program.pptx
timhan337
 
Model Attribute Check Company Auto Property
Model Attribute  Check Company Auto PropertyModel Attribute  Check Company Auto Property
Model Attribute Check Company Auto Property
Celine George
 

Recently uploaded (20)

Sha'Carri Richardson Presentation 202345
Sha'Carri Richardson Presentation 202345Sha'Carri Richardson Presentation 202345
Sha'Carri Richardson Presentation 202345
 
Supporting (UKRI) OA monographs at Salford.pptx
Supporting (UKRI) OA monographs at Salford.pptxSupporting (UKRI) OA monographs at Salford.pptx
Supporting (UKRI) OA monographs at Salford.pptx
 
Embracing GenAI - A Strategic Imperative
Embracing GenAI - A Strategic ImperativeEmbracing GenAI - A Strategic Imperative
Embracing GenAI - A Strategic Imperative
 
Digital Tools and AI for Teaching Learning and Research
Digital Tools and AI for Teaching Learning and ResearchDigital Tools and AI for Teaching Learning and Research
Digital Tools and AI for Teaching Learning and Research
 
Unit 8 - Information and Communication Technology (Paper I).pdf
Unit 8 - Information and Communication Technology (Paper I).pdfUnit 8 - Information and Communication Technology (Paper I).pdf
Unit 8 - Information and Communication Technology (Paper I).pdf
 
Instructions for Submissions thorugh G- Classroom.pptx
Instructions for Submissions thorugh G- Classroom.pptxInstructions for Submissions thorugh G- Classroom.pptx
Instructions for Submissions thorugh G- Classroom.pptx
 
A Strategic Approach: GenAI in Education
A Strategic Approach: GenAI in EducationA Strategic Approach: GenAI in Education
A Strategic Approach: GenAI in Education
 
Adversarial Attention Modeling for Multi-dimensional Emotion Regression.pdf
Adversarial Attention Modeling for Multi-dimensional Emotion Regression.pdfAdversarial Attention Modeling for Multi-dimensional Emotion Regression.pdf
Adversarial Attention Modeling for Multi-dimensional Emotion Regression.pdf
 
The basics of sentences session 5pptx.pptx
The basics of sentences session 5pptx.pptxThe basics of sentences session 5pptx.pptx
The basics of sentences session 5pptx.pptx
 
Introduction to AI for Nonprofits with Tapp Network
Introduction to AI for Nonprofits with Tapp NetworkIntroduction to AI for Nonprofits with Tapp Network
Introduction to AI for Nonprofits with Tapp Network
 
Acetabularia Information For Class 9 .docx
Acetabularia Information For Class 9  .docxAcetabularia Information For Class 9  .docx
Acetabularia Information For Class 9 .docx
 
The Challenger.pdf DNHS Official Publication
The Challenger.pdf DNHS Official PublicationThe Challenger.pdf DNHS Official Publication
The Challenger.pdf DNHS Official Publication
 
TESDA TM1 REVIEWER FOR NATIONAL ASSESSMENT WRITTEN AND ORAL QUESTIONS WITH A...
TESDA TM1 REVIEWER  FOR NATIONAL ASSESSMENT WRITTEN AND ORAL QUESTIONS WITH A...TESDA TM1 REVIEWER  FOR NATIONAL ASSESSMENT WRITTEN AND ORAL QUESTIONS WITH A...
TESDA TM1 REVIEWER FOR NATIONAL ASSESSMENT WRITTEN AND ORAL QUESTIONS WITH A...
 
June 3, 2024 Anti-Semitism Letter Sent to MIT President Kornbluth and MIT Cor...
June 3, 2024 Anti-Semitism Letter Sent to MIT President Kornbluth and MIT Cor...June 3, 2024 Anti-Semitism Letter Sent to MIT President Kornbluth and MIT Cor...
June 3, 2024 Anti-Semitism Letter Sent to MIT President Kornbluth and MIT Cor...
 
Operation Blue Star - Saka Neela Tara
Operation Blue Star   -  Saka Neela TaraOperation Blue Star   -  Saka Neela Tara
Operation Blue Star - Saka Neela Tara
 
The Roman Empire A Historical Colossus.pdf
The Roman Empire A Historical Colossus.pdfThe Roman Empire A Historical Colossus.pdf
The Roman Empire A Historical Colossus.pdf
 
Biological Screening of Herbal Drugs in detailed.
Biological Screening of Herbal Drugs in detailed.Biological Screening of Herbal Drugs in detailed.
Biological Screening of Herbal Drugs in detailed.
 
Chapter 3 - Islamic Banking Products and Services.pptx
Chapter 3 - Islamic Banking Products and Services.pptxChapter 3 - Islamic Banking Products and Services.pptx
Chapter 3 - Islamic Banking Products and Services.pptx
 
Honest Reviews of Tim Han LMA Course Program.pptx
Honest Reviews of Tim Han LMA Course Program.pptxHonest Reviews of Tim Han LMA Course Program.pptx
Honest Reviews of Tim Han LMA Course Program.pptx
 
Model Attribute Check Company Auto Property
Model Attribute  Check Company Auto PropertyModel Attribute  Check Company Auto Property
Model Attribute Check Company Auto Property
 

ASIC DESIGN : PLACEMENT

  • 1. ASIC DESIGN MODULE - III ASIC CONSTUCTION : PLACEMENT PRESENTED BY HARSHADA BURUTE.
  • 2. Contents  Introduction  Definition of placement  Goals and objectives  Placement layout  Types of placements  Placement steps  Placement trends(solutions)  References
  • 3. Introduction  Placement is an essential step in physical design flow since it assigns exact locations for various circuit components within the chips core area.  A placer takes a given synthesized circuit netlist together with a technology library and produces a valid placement layout.  Decide the locations of cells in a block.  Selects the specific location for each logic block in the FPGA, while trying to minimize the total length of interconnect required.  Placement is much more suited to automation than floorplanning.  Placement is a key step in physical design.  Placement placed in ASIC design flow step no. 6 in physical design.
  • 4. Placement position in ASIC design flow
  • 5. Definition of placement  Exact placement of the modules (modules can be gates, standard cells, macros…).  The general goal is to minimize the total area and interconnect cost.
  • 6. Placement  There are various types of placements.  System-level placement: Place all the PCBs together such that Area occupied is minimum and Heat dissipation is within limits.  Board-level placement: All the chips have to be placed on a PCB. Area is fixed. All modules of rectangular shape. The objective is to, minimize the number of routing layers and Meet system performance requirements.  Chip-level placement: Normally placement carried out along with pin assignment
  • 7. Placement goals & objectives  Goals  To arrange all the logic cells within the flexible blocks on a chip.  Objectives  Minimize all the critical net delays  Minimize power dissipation  Minimize crosstalk between signals  Minimize the interconnect congestion  Guarantee the router can complete the router step  Minimize the total estimated interconnect length  Specific timing requirement for critical nets
  • 8. PLACEMENT LAYOUT AREA ROW CONSIST OF NUMBER OF SITES WHICH CAN BE OCCUPIED BY THE CIRCUIT COMPONENT. LAYOUT AREA SPECIFIES THE FIX HEIGHT OF ROWS. STANDARD CELLS HAVE A FIXED HEIGHT EQUAL TO ROWS HEIGHT BUT HAVE VARIABLE WIDTHS. BLOCKS CAN HAVE PREASSIGNED LOCATIONS. THIS IS CALLED MIXED MODE PLACEMENT.
  • 9. BASIC TECHNIQUES OF PLACEMENT GLOBAL PLACEMENT DETAILED PLACEMENT
  • 10. GLOBAL PLACEMENT  The goal of global placement is to find well spread, ideally with no overlaps, placement for the given net list that attains required objectives such as wirelength minimization or timing specifications.  Standard cells are placed into groups such that the number of connections between groups is minimized.  This is solved through circuit partitioning.
  • 11. Algorithms 1. Simulated-annealing placers 2. Analytical placers 3. Min–cut placers – Min cut placers operate in a top-down hierarchical fashion by recursively partitioning a given netlist into partitions. Where k>1 multiway partitioning k=1 bisection partitioning k=2 quadrisection partitioning Divided into sub section for better results: i) Min cut partitioners- k-way min cut partitioning ii) Cut sequences- cut directions iii) Capturing global connectivity- useful for improve placement results.
  • 12. DETAILED PLACEMENT AND LEGALIZERS  A placement is illegal if cells or blocks are overlaps.  A detailed placer takes a legal placement and improve some placement objectives like wirelength congestion.
  • 13. DETAILED PLACEMENT AND LEGALIZERS  Goals of detailed placer and legalizers  Remove all overlaps, and snap cells to sites with the minimum impact on wirelength, timing and congestion.  Improve wirelength by reordering groups of cells.  Improve routability by carefully distributing free sites. Classification of detailed placement into heuristic or exact methods. 1) Heuristic method : typically achieve good results in fast runtime. 2) Exact method : only applicable for a few cases and usually take longer runtime.
  • 14.
  • 16. Placement trends(solutions)  Mixed size placement  Simultaneously places cells and blocks.  Whitespace distribution Whitespace or free space is the percentage of placement sites not occupied by cells and blocks.  Whitespace enlarges the core layout area more than necessary for placement, in order to provide larger routing area.  Placement algorithms can allocate whitespace to improve performance in a number of ways including congestion reduction, overlap minimization, and timing improvement.  Placement benchmarking  Estimate the proper benchmark which described the performance gap between the reported results.
  • 17. Good placement vs. Bad placement  Good placement  No congestion  Shorter wires  Less metal levels  Smaller delay  Lower power dissipation  Bad placement  Congestion  Longer wire lengths  More metal levels  Longer delay  Higher power dissipation
  • 18. REFERENCES  Michael Smith, “Application Specific Integrated Circuits” Pearson Education Asia , chapter 16.  Andrew kahng and Reda, “digital layout – placement” ,chapter 5, EDA for IC implementation, circuit design and process technology.  Physical design flow