SlideShare a Scribd company logo
ASIC
(APPLICATION SPECIFIC INTEGRATED CIRCUITS)
Submitted by:
Rajeev Kumar
Ashok Kumar
ECE 3rd year
ROORKEE INSTITUTE OF TECHNOLOGY,
ROORKEE
4th April, 2016
What Is ASIC ?
 A microchip designed for a special application, such as a particular kind of
transmission protocol or a hand-held computer.
 Might contrast it with general integrated circuits, such as the microprocessor
and the random access memory chips in your PC.
 An ASIC can be pre-manufactured for a special application or
it can be custom manufactured for a particular customer
application.
CONTENTS
 ASIC vs Standard ICs
 Structured ASIC
 Types of ASIC
Generalized ASIC Design Flow
 Advantages
 Disadvantages
 ASIC vs FPGA
Stages of The ASIC Design Project
 Applications
Standard ICs – ICs sold as Standard Parts
 SSI/LSI/ MSI IC such as MUX, Encoder, Memory Chips, or Microprocessor IC
 Application Specific Integrated Circuits (ASIC) – A Chip for Toy Bear, Auto-
Mobile Control Chip, Different Communication Chips.
 Concept Started in 1980s
 An IC Customized to a Particular System or Application – Custom ICs
 Digital Designs Became a Matter of Placing of Fewer CICs or ASICs plus Some Glue Logic
 Reduced Cost and Improved Reliability
 Application Specific Standard Parts (ASSP) – Controller Chip for PC or a Modem
main
Structured ASICs
 Structured ASICs have the bottom metal layers fixed and only the top layers can be designed by
the customer.
 Structured ASICs are custom devices that approach the performance of today's Standard Cell
ASIC while dramatically simplifying the design complexity.
 Structured ASICs offer designers a set of devices with specific, customizable metal layers along
with predefined metal layers, which can contain the underlying pattern of logic cells, memory,
and I/O.
main
Types of ASICs
Full-Custom ASICs: Possibly all logic cells and all mask layers customized
Semi-Custom ASICs: all logic cells are pre-designed and some
(possibly all) mask layers customized
main
Generalized ASIC Design Flow
Product Requirement
Behavioral/Functional
specification
Behavioral(RTL) Synthesis
Structural Specification
Physical Synthesis
Physical Specification
To CMOS Fabrication
chec
k
chec
k
chec
k
OK
OK
OK
Back End
Front End
GENERALIZED ASIC DESIGN FLOW
 High Level Design
 Specification Capture
 Design Capture in C, C++, SystemC or SystemVerilog
 HW/SW partitioning and IP selection
 RTL Design
 Verilog/VHDL
 System, Timing and Logic Verification
 Is the logic working correctly?
 Physical Design
 Floorplanning, Place and Route, Clock insertion
 Performance and Manufacturability Verification
 Extraction of Physical View
 Verification of timing and signal integrity
 Design Rule Checking/ LVS
Front End
Back End
main
ASIC Example
main
Advantages Of ASIC Design
 Lower unit costs: For very high volume designs costs comes out to be very less.
 ASICs are faster: ASIC gives design flexibility. This gives enoromous opportunity for speed
optimizations.
 Low power: ASIC can be optimized for required low power. There are several low power
techniques such as power gating, clock gating, multi vt cell libraries, pipelining etc are
available to achieve the power target.
 In ASIC you can implement analog circuit, mixed signal designs.
 In ASIC DFT (Design For Test) is inserted.
main
Disadvantages Of ASIC Design
 Time-to-market: Some large ASICs can take a year or more to design.
 Design Issues: In ASIC you should take care of DFM issues, Signal Integrity issues and many
more.
 Expensive Tools: ASIC design tools are very much expensive. You spend a huge amount of
NRE.
main
ASIC VS FPGA
main
MAIN STAGES OF THE ASIC DESIGN PROJECT
 Marketing Requirements Specification (MRS)
 Project Initialization Stage
 Specification stage
 Logic Design Stage
 FPGA Implementation and Validation Stage (optional)
 ASIC Implementation Stage
 Tape-Out -Transfer to FAB (Transfer to Production)
main
APPLICATIONS
Aerospace applications. Because they are not field
programmable they are more radiation tolerant.
An ASIC might also be the only possible solution when your
system needs to reach a high energy efficiency (eg. lowest
joule/operation) or very high performance (eg. lowest latency,
or highest operation/second).
A6 processor on the new Apple iPhone
main
Asic

More Related Content

What's hot

vlsi design flow
vlsi design flowvlsi design flow
vlsi design flow
Anish Gupta
 
Vlsi physical design
Vlsi physical designVlsi physical design
Vlsi physical design
I World Tech
 

What's hot (20)

vlsi design flow
vlsi design flowvlsi design flow
vlsi design flow
 
System On Chip
System On ChipSystem On Chip
System On Chip
 
FPGA
FPGAFPGA
FPGA
 
FPGA
FPGAFPGA
FPGA
 
Xilinx 4000 series
Xilinx 4000 seriesXilinx 4000 series
Xilinx 4000 series
 
Introduction to VLSI Design
Introduction to VLSI DesignIntroduction to VLSI Design
Introduction to VLSI Design
 
Vlsi Summer training report pdf
Vlsi Summer training report pdfVlsi Summer training report pdf
Vlsi Summer training report pdf
 
Layout & Stick Diagram Design Rules
Layout & Stick Diagram Design RulesLayout & Stick Diagram Design Rules
Layout & Stick Diagram Design Rules
 
Fpga
FpgaFpga
Fpga
 
ASIC DESIGN FLOW
ASIC DESIGN FLOWASIC DESIGN FLOW
ASIC DESIGN FLOW
 
Vlsi
VlsiVlsi
Vlsi
 
Introduction to FPGAs
Introduction to FPGAsIntroduction to FPGAs
Introduction to FPGAs
 
Vlsi physical design
Vlsi physical designVlsi physical design
Vlsi physical design
 
SoC Design
SoC DesignSoC Design
SoC Design
 
Vlsi physical design-notes
Vlsi physical design-notesVlsi physical design-notes
Vlsi physical design-notes
 
VLSI Testing Techniques
VLSI Testing TechniquesVLSI Testing Techniques
VLSI Testing Techniques
 
Vlsi design
Vlsi designVlsi design
Vlsi design
 
VLSI Technology Trends
VLSI Technology TrendsVLSI Technology Trends
VLSI Technology Trends
 
Advanced Topics In Digital Signal Processing
Advanced Topics In Digital Signal ProcessingAdvanced Topics In Digital Signal Processing
Advanced Topics In Digital Signal Processing
 
Four way traffic light conrol using Verilog
Four way traffic light conrol using VerilogFour way traffic light conrol using Verilog
Four way traffic light conrol using Verilog
 

Viewers also liked

Michael john sebastian smith application-specific integrated circuits-addison...
Michael john sebastian smith application-specific integrated circuits-addison...Michael john sebastian smith application-specific integrated circuits-addison...
Michael john sebastian smith application-specific integrated circuits-addison...
Đình Khanh Nguyễn
 
Asic design lect1 2 august 28 2012
Asic design lect1 2 august 28 2012Asic design lect1 2 august 28 2012
Asic design lect1 2 august 28 2012
babak danyal
 
Microprocessor vs. microcontroller
Microprocessor vs. microcontrollerMicroprocessor vs. microcontroller
Microprocessor vs. microcontroller
aviban
 

Viewers also liked (18)

Michael john sebastian smith application-specific integrated circuits-addison...
Michael john sebastian smith application-specific integrated circuits-addison...Michael john sebastian smith application-specific integrated circuits-addison...
Michael john sebastian smith application-specific integrated circuits-addison...
 
Asic design lect1 2 august 28 2012
Asic design lect1 2 august 28 2012Asic design lect1 2 august 28 2012
Asic design lect1 2 august 28 2012
 
ASIC DESIGN : PLACEMENT
ASIC DESIGN : PLACEMENTASIC DESIGN : PLACEMENT
ASIC DESIGN : PLACEMENT
 
Triad Semiconductor Analog and Mixed Signal ASIC Company Overview
Triad Semiconductor Analog and Mixed Signal ASIC Company OverviewTriad Semiconductor Analog and Mixed Signal ASIC Company Overview
Triad Semiconductor Analog and Mixed Signal ASIC Company Overview
 
Synthesis
SynthesisSynthesis
Synthesis
 
Asic pd
Asic pdAsic pd
Asic pd
 
DSD
DSDDSD
DSD
 
VLSI industry - Digital Design Engineers - draft version
VLSI industry - Digital Design Engineers - draft versionVLSI industry - Digital Design Engineers - draft version
VLSI industry - Digital Design Engineers - draft version
 
Asics Final Presentation
Asics Final PresentationAsics Final Presentation
Asics Final Presentation
 
ASIC Design and Implementation
ASIC Design and ImplementationASIC Design and Implementation
ASIC Design and Implementation
 
An entire concept of embedded systems entire ppt
An entire concept of embedded systems entire pptAn entire concept of embedded systems entire ppt
An entire concept of embedded systems entire ppt
 
Microprocessor vs. microcontroller
Microprocessor vs. microcontrollerMicroprocessor vs. microcontroller
Microprocessor vs. microcontroller
 
Microprocessor
MicroprocessorMicroprocessor
Microprocessor
 
Introduction to Microcontroller
Introduction to MicrocontrollerIntroduction to Microcontroller
Introduction to Microcontroller
 
Microprocessor ppt
Microprocessor pptMicroprocessor ppt
Microprocessor ppt
 
Microcontroller presentation
Microcontroller presentationMicrocontroller presentation
Microcontroller presentation
 
Making of an Application Specific Integrated Circuit
Making of an Application Specific Integrated CircuitMaking of an Application Specific Integrated Circuit
Making of an Application Specific Integrated Circuit
 
Introduction to microprocessor
Introduction to microprocessorIntroduction to microprocessor
Introduction to microprocessor
 

Similar to Asic

module 1-2 - Design Methods, parameters and examples.pptx
module 1-2 - Design Methods, parameters and examples.pptxmodule 1-2 - Design Methods, parameters and examples.pptx
module 1-2 - Design Methods, parameters and examples.pptx
Maaz609108
 
ASIC-DESIGN.pdf machne language explanation
ASIC-DESIGN.pdf machne language explanationASIC-DESIGN.pdf machne language explanation
ASIC-DESIGN.pdf machne language explanation
nitcse
 
Soc - Intro, Design Aspects, HLS, TLM
Soc - Intro, Design Aspects, HLS, TLMSoc - Intro, Design Aspects, HLS, TLM
Soc - Intro, Design Aspects, HLS, TLM
Subhash Iyer
 

Similar to Asic (20)

Introduction to EDA Tools
Introduction to EDA ToolsIntroduction to EDA Tools
Introduction to EDA Tools
 
ASIC VS FPGA.ppt
ASIC VS FPGA.pptASIC VS FPGA.ppt
ASIC VS FPGA.ppt
 
1st and 2nd Lecture
1st and 2nd Lecture1st and 2nd Lecture
1st and 2nd Lecture
 
Fpga vs asic
Fpga vs asicFpga vs asic
Fpga vs asic
 
Specialized parallel computing
Specialized parallel computingSpecialized parallel computing
Specialized parallel computing
 
FROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATION
FROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATIONFROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATION
FROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATION
 
FROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATION
FROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATIONFROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATION
FROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATION
 
FROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATION
FROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATIONFROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATION
FROM FPGA TO ASIC IMPLEMENTATION OF AN OPENRISC BASED SOC FOR VOIP APPLICATION
 
CMOS Topic 7 -_design_methodology
CMOS Topic 7 -_design_methodologyCMOS Topic 7 -_design_methodology
CMOS Topic 7 -_design_methodology
 
module 1-2 - Design Methods, parameters and examples.pptx
module 1-2 - Design Methods, parameters and examples.pptxmodule 1-2 - Design Methods, parameters and examples.pptx
module 1-2 - Design Methods, parameters and examples.pptx
 
Altera integrated circuits distributor
Altera integrated circuits distributor Altera integrated circuits distributor
Altera integrated circuits distributor
 
vlsi
vlsivlsi
vlsi
 
ASIC vs SOC vs FPGA
ASIC  vs SOC  vs FPGAASIC  vs SOC  vs FPGA
ASIC vs SOC vs FPGA
 
ASIC-DESIGN.pdf machne language explanation
ASIC-DESIGN.pdf machne language explanationASIC-DESIGN.pdf machne language explanation
ASIC-DESIGN.pdf machne language explanation
 
Fpga
FpgaFpga
Fpga
 
Resume
ResumeResume
Resume
 
Fpga asic technologies_flow
Fpga asic technologies_flowFpga asic technologies_flow
Fpga asic technologies_flow
 
SOC design
SOC design SOC design
SOC design
 
Soc - Intro, Design Aspects, HLS, TLM
Soc - Intro, Design Aspects, HLS, TLMSoc - Intro, Design Aspects, HLS, TLM
Soc - Intro, Design Aspects, HLS, TLM
 
Convert Altera Xilinx FPGA to BaySand mcFPGA
Convert Altera Xilinx FPGA to BaySand mcFPGAConvert Altera Xilinx FPGA to BaySand mcFPGA
Convert Altera Xilinx FPGA to BaySand mcFPGA
 

Recently uploaded

Hall booking system project report .pdf
Hall booking system project report  .pdfHall booking system project report  .pdf
Hall booking system project report .pdf
Kamal Acharya
 
Fruit shop management system project report.pdf
Fruit shop management system project report.pdfFruit shop management system project report.pdf
Fruit shop management system project report.pdf
Kamal Acharya
 
Standard Reomte Control Interface - Neometrix
Standard Reomte Control Interface - NeometrixStandard Reomte Control Interface - Neometrix
Standard Reomte Control Interface - Neometrix
Neometrix_Engineering_Pvt_Ltd
 
ONLINE VEHICLE RENTAL SYSTEM PROJECT REPORT.pdf
ONLINE VEHICLE RENTAL SYSTEM PROJECT REPORT.pdfONLINE VEHICLE RENTAL SYSTEM PROJECT REPORT.pdf
ONLINE VEHICLE RENTAL SYSTEM PROJECT REPORT.pdf
Kamal Acharya
 

Recently uploaded (20)

Hall booking system project report .pdf
Hall booking system project report  .pdfHall booking system project report  .pdf
Hall booking system project report .pdf
 
Quality defects in TMT Bars, Possible causes and Potential Solutions.
Quality defects in TMT Bars, Possible causes and Potential Solutions.Quality defects in TMT Bars, Possible causes and Potential Solutions.
Quality defects in TMT Bars, Possible causes and Potential Solutions.
 
The Benefits and Techniques of Trenchless Pipe Repair.pdf
The Benefits and Techniques of Trenchless Pipe Repair.pdfThe Benefits and Techniques of Trenchless Pipe Repair.pdf
The Benefits and Techniques of Trenchless Pipe Repair.pdf
 
ASME IX(9) 2007 Full Version .pdf
ASME IX(9)  2007 Full Version       .pdfASME IX(9)  2007 Full Version       .pdf
ASME IX(9) 2007 Full Version .pdf
 
Fruit shop management system project report.pdf
Fruit shop management system project report.pdfFruit shop management system project report.pdf
Fruit shop management system project report.pdf
 
RESORT MANAGEMENT AND RESERVATION SYSTEM PROJECT REPORT.pdf
RESORT MANAGEMENT AND RESERVATION SYSTEM PROJECT REPORT.pdfRESORT MANAGEMENT AND RESERVATION SYSTEM PROJECT REPORT.pdf
RESORT MANAGEMENT AND RESERVATION SYSTEM PROJECT REPORT.pdf
 
Democratizing Fuzzing at Scale by Abhishek Arya
Democratizing Fuzzing at Scale by Abhishek AryaDemocratizing Fuzzing at Scale by Abhishek Arya
Democratizing Fuzzing at Scale by Abhishek Arya
 
İTÜ CAD and Reverse Engineering Workshop
İTÜ CAD and Reverse Engineering WorkshopİTÜ CAD and Reverse Engineering Workshop
İTÜ CAD and Reverse Engineering Workshop
 
Construction method of steel structure space frame .pptx
Construction method of steel structure space frame .pptxConstruction method of steel structure space frame .pptx
Construction method of steel structure space frame .pptx
 
BRAKING SYSTEM IN INDIAN RAILWAY AutoCAD DRAWING
BRAKING SYSTEM IN INDIAN RAILWAY AutoCAD DRAWINGBRAKING SYSTEM IN INDIAN RAILWAY AutoCAD DRAWING
BRAKING SYSTEM IN INDIAN RAILWAY AutoCAD DRAWING
 
NO1 Pandit Black Magic Removal in Uk kala jadu Specialist kala jadu for Love ...
NO1 Pandit Black Magic Removal in Uk kala jadu Specialist kala jadu for Love ...NO1 Pandit Black Magic Removal in Uk kala jadu Specialist kala jadu for Love ...
NO1 Pandit Black Magic Removal in Uk kala jadu Specialist kala jadu for Love ...
 
Top 13 Famous Civil Engineering Scientist
Top 13 Famous Civil Engineering ScientistTop 13 Famous Civil Engineering Scientist
Top 13 Famous Civil Engineering Scientist
 
2024 DevOps Pro Europe - Growing at the edge
2024 DevOps Pro Europe - Growing at the edge2024 DevOps Pro Europe - Growing at the edge
2024 DevOps Pro Europe - Growing at the edge
 
Peek implant persentation - Copy (1).pdf
Peek implant persentation - Copy (1).pdfPeek implant persentation - Copy (1).pdf
Peek implant persentation - Copy (1).pdf
 
A CASE STUDY ON ONLINE TICKET BOOKING SYSTEM PROJECT.pdf
A CASE STUDY ON ONLINE TICKET BOOKING SYSTEM PROJECT.pdfA CASE STUDY ON ONLINE TICKET BOOKING SYSTEM PROJECT.pdf
A CASE STUDY ON ONLINE TICKET BOOKING SYSTEM PROJECT.pdf
 
Furniture showroom management system project.pdf
Furniture showroom management system project.pdfFurniture showroom management system project.pdf
Furniture showroom management system project.pdf
 
HYDROPOWER - Hydroelectric power generation
HYDROPOWER - Hydroelectric power generationHYDROPOWER - Hydroelectric power generation
HYDROPOWER - Hydroelectric power generation
 
Cloud-Computing_CSE311_Computer-Networking CSE GUB BD - Shahidul.pptx
Cloud-Computing_CSE311_Computer-Networking CSE GUB BD - Shahidul.pptxCloud-Computing_CSE311_Computer-Networking CSE GUB BD - Shahidul.pptx
Cloud-Computing_CSE311_Computer-Networking CSE GUB BD - Shahidul.pptx
 
Standard Reomte Control Interface - Neometrix
Standard Reomte Control Interface - NeometrixStandard Reomte Control Interface - Neometrix
Standard Reomte Control Interface - Neometrix
 
ONLINE VEHICLE RENTAL SYSTEM PROJECT REPORT.pdf
ONLINE VEHICLE RENTAL SYSTEM PROJECT REPORT.pdfONLINE VEHICLE RENTAL SYSTEM PROJECT REPORT.pdf
ONLINE VEHICLE RENTAL SYSTEM PROJECT REPORT.pdf
 

Asic

  • 1. ASIC (APPLICATION SPECIFIC INTEGRATED CIRCUITS) Submitted by: Rajeev Kumar Ashok Kumar ECE 3rd year ROORKEE INSTITUTE OF TECHNOLOGY, ROORKEE 4th April, 2016
  • 2. What Is ASIC ?  A microchip designed for a special application, such as a particular kind of transmission protocol or a hand-held computer.  Might contrast it with general integrated circuits, such as the microprocessor and the random access memory chips in your PC.  An ASIC can be pre-manufactured for a special application or it can be custom manufactured for a particular customer application.
  • 3. CONTENTS  ASIC vs Standard ICs  Structured ASIC  Types of ASIC Generalized ASIC Design Flow  Advantages  Disadvantages  ASIC vs FPGA Stages of The ASIC Design Project  Applications
  • 4. Standard ICs – ICs sold as Standard Parts  SSI/LSI/ MSI IC such as MUX, Encoder, Memory Chips, or Microprocessor IC  Application Specific Integrated Circuits (ASIC) – A Chip for Toy Bear, Auto- Mobile Control Chip, Different Communication Chips.  Concept Started in 1980s  An IC Customized to a Particular System or Application – Custom ICs  Digital Designs Became a Matter of Placing of Fewer CICs or ASICs plus Some Glue Logic  Reduced Cost and Improved Reliability  Application Specific Standard Parts (ASSP) – Controller Chip for PC or a Modem main
  • 5. Structured ASICs  Structured ASICs have the bottom metal layers fixed and only the top layers can be designed by the customer.  Structured ASICs are custom devices that approach the performance of today's Standard Cell ASIC while dramatically simplifying the design complexity.  Structured ASICs offer designers a set of devices with specific, customizable metal layers along with predefined metal layers, which can contain the underlying pattern of logic cells, memory, and I/O. main
  • 6. Types of ASICs Full-Custom ASICs: Possibly all logic cells and all mask layers customized Semi-Custom ASICs: all logic cells are pre-designed and some (possibly all) mask layers customized main
  • 7. Generalized ASIC Design Flow Product Requirement Behavioral/Functional specification Behavioral(RTL) Synthesis Structural Specification Physical Synthesis Physical Specification To CMOS Fabrication chec k chec k chec k OK OK OK Back End Front End
  • 8. GENERALIZED ASIC DESIGN FLOW  High Level Design  Specification Capture  Design Capture in C, C++, SystemC or SystemVerilog  HW/SW partitioning and IP selection  RTL Design  Verilog/VHDL  System, Timing and Logic Verification  Is the logic working correctly?  Physical Design  Floorplanning, Place and Route, Clock insertion  Performance and Manufacturability Verification  Extraction of Physical View  Verification of timing and signal integrity  Design Rule Checking/ LVS Front End Back End main
  • 10. Advantages Of ASIC Design  Lower unit costs: For very high volume designs costs comes out to be very less.  ASICs are faster: ASIC gives design flexibility. This gives enoromous opportunity for speed optimizations.  Low power: ASIC can be optimized for required low power. There are several low power techniques such as power gating, clock gating, multi vt cell libraries, pipelining etc are available to achieve the power target.  In ASIC you can implement analog circuit, mixed signal designs.  In ASIC DFT (Design For Test) is inserted. main
  • 11. Disadvantages Of ASIC Design  Time-to-market: Some large ASICs can take a year or more to design.  Design Issues: In ASIC you should take care of DFM issues, Signal Integrity issues and many more.  Expensive Tools: ASIC design tools are very much expensive. You spend a huge amount of NRE. main
  • 13. MAIN STAGES OF THE ASIC DESIGN PROJECT  Marketing Requirements Specification (MRS)  Project Initialization Stage  Specification stage  Logic Design Stage  FPGA Implementation and Validation Stage (optional)  ASIC Implementation Stage  Tape-Out -Transfer to FAB (Transfer to Production) main
  • 14. APPLICATIONS Aerospace applications. Because they are not field programmable they are more radiation tolerant. An ASIC might also be the only possible solution when your system needs to reach a high energy efficiency (eg. lowest joule/operation) or very high performance (eg. lowest latency, or highest operation/second). A6 processor on the new Apple iPhone main