SlideShare a Scribd company logo
Triad Semiconductor
Analog and Mixed Signal Agile ASIC™
Solutions
Analog and mixed signal ASIC
design and manufacturing solutions.
Triad Semiconductor
Agile asic development
Proven Track Record
A decade of delivering
mission critical ASIC solutions
defense industrial medical automotive consumer
10
Alternative IC Vendors
Standard
Product
Catalog
Offering
ASSP
CSSP
ASIC
Others
Standard Product Focused
ASIC is a special case
Chip must with mass appeal
Organized to share your IP
with your competitors
Triad - Proudly ASIC Focused
ASIC-only.
ASIC-culture
Your ASIC partner
Your IP Vault
Empowering you to
differentiate in hardware
Experienced ASIC Design Team
Years of combined custom IC
design experience
600
ASIC Engineering Organization
Engineering Talent
Project Oriented
Rigorous Processes
Best-in-Class Tools
Secure Infrastructure
System Redundancy
Specification Driven
Compliance Exit Criteria
Design for Test, Yield,
Manufacturability
True IP reuse culture
Triad’s Custom IC Engineering Group
25 IC Designers
Customers
Project Leaders [10]
IC Designers [15]
Project
Admins [2]
Test
Engineers [3]
Cad Engineer
Sys Admin
VP of Engineering
Triad’s ASIC Project Flow Diagram
Kick-Off Meeting
Initial Requirements &
Specification
Specification Review
Digital
Design
Analog
Design
Digital
Simulation
Analog
Simulation
Initial Design Review
(IDR)
Complete Block Design &
Block Layout
Top Level
Simulations
Critical Design
Review (CDR)
Top Level Layout
LVS/DRC Verification
Release to Foundry
Tape Out
Wafer Fabrication
Prototype Packaging
Test and IC Delivery
Package
Requirements
Package
Selection
I/O
Requirements
Evaluation PCB
Development
Bonding
Diagram
1
Specification
Development
Major Milestone
Customer Provided
Joint Effort
Triad Responsibility
Legend
1
Highly recommended to
hold kick-off meeting at
Triad’s Winston-Salem
NC HQ to quickly ramp
the project.
Trusted Solutions Provider
Automotive
Medical
Industrial
Defense
Engineering Operations
Mission
Critical
Solutions
Supply Chain
Distribution
Partner
Semiconductor
Foundry
Partners
Optimal solution throughout the entire supply chain
Package
Assembly
Partners
Qualification
Production Test
Partners
Long Term Supply
Commitment is
Core to Triad
Manufacturing Partner
Collectively, we have shipped
over 2,000,000,000 ICs
Superior Supply Chain
Know-how
Relationships
Supply Chain Management &
Optimization
Market Introduction, Ramp
High Volume
2B
Triad ASIC Solutions
ASIC Focused
ASIC Design Excellence
ASIC Quality
ASIC Long-term Supply Commitment
ASIC Manufacturing Partner
Your trusted analog and mixed signal ASIC design and
manufacturing solutions provider.
Traditional Full Custom ASIC Challenges
Long development
Expensive development
Risky development
Difficult to make changes
ASIC benefits and Agility…
Agile – fast, responsive
Rapid
Development
Mitigated
Risk
Change
Management
Cost Effective
Agile ASIC™
TS
Agile – Fast to Prototypes
Agile ASICs speed
time to 1st Silicon by
3 months
9
months
12
months
Time to 1st Silicon
Agile ASIC Traditional
The Secret is getting from
prototypes to production quickly
Most mixed signal designs
need 1 to 2 re-spins to achieve
production readiness
Fixing a Traditional ASIC is slow & difficult
Manual
Rip Up
Manual
Layout
Full Mask
Fab
6+
months
An Agile ASIC is Responsive
Agile ASICs modified quickly & easily
Manual
Rip Up
Manual
Layout
Full Mask
Fab
6+
months
Via-Only Place
& Route
Via-Only
Fab
1 to
1.5
months
Agile ASICs speed up
time to 2nd Silicon by
5-6 months
An Agile ASIC is
Fast & Responsive
• Year Faster to Market
• Predictable Schedule & Cost
• Future Proof
• Major Program Savings
26V domain5V domain1.8V domain5V domain
ADC
20-bit
HART
Modem
1.8V
Regulator
Band Gap
HART
PHY
Bias
Generator
Control
Watchdog
Triad Agile ASIC™
Agile ASICs are High Performance
Agile ASICs are High Performance and Low Power
16 Bit
ADC MCU
Low
Noise
TIAs
EEPROM
RAM
UARTDAC DAC
Mux
PLL
250µA
Low Power
Major
architecture
changes
ViaOnly™
Change
50%
Power Reduction
Agile ASICs: High Performance Systems
14 Bit
ADC
Digital
Level
Shifters
Temp
Sensor
Thermal Shutdown
Voltage
References
3.0V
LDO
2.8V
LDO
1.2V
LDO
1.8V
LDO
1.2V Buck
Regulator
500mA
1.8V Buck
Regulator
150mA
Voltage Supervisor
Soft Start
PMIC +
Precision Analog
Power Fabric
Isolation
Substrate Noise
System Noise
Shielding
Decoupling
Power Domains
What is an Agile ASIC?
1 Full Custom Circuits
2 Overlaid with Routing Fabric*
*Fabric enlarged to show detail
3 ViaPath™ ViaOnly Place &
Route Software
4 Design Configured with Vias
Agile ASICs start with full custom circuits
OpAmp OpAmp
Switch Array
Resistor Array
Capacitor Array
Logic Array
Agile ASIC Circuit
Regular, array based layout of resources
• Proven performance
• Predictable performance
Supports interdigitating of elements
• Mitigates thermal gradient effects
• Mitigates varying wafer effects
Spare resources carefully pre-planned
• No difference in performance
• Spares easily accessed by routing fabric
Via Configurable Routing Fabric
Patented Routing Fabric
Fabric made from two vertically
adjacent metal layers
Arranged as a grid of overlapping
routing tracks
Adjacent grids rotated 90 degrees
to prevent long routing blockages
Interconnect & configuration accomplished with metal layers and vias.
Same interconnect method used in full-custom IC design.
Vias are low resistance resources ideal for connecting analog circuits
ViaPath™ - Via-Only Place & Route Software
• Analog Aware™
– Differential Routing
– Twisted Pairs
– Shielding
– Power Routing
– Power Domains
– High Current Routing
• 10 years of constant
improvement
Trusted – the US nuclear arsenal is Powered by ViaPath
Agile ASICs – Circuits plus Fabric = Tiles
Via Configurable Tile
• Tightly coupled combination of
circuits and routing fabric
• Fabric is manually added by
full-custom IC designer for
optimal performance
Fabric enlarged to show detail
Agile ASICs – Tiles Speed Assembly & Optimize Area
Tiles are designed with a focus on rapid chip assembly
and total area optimization
Configurable
Tile
Circuits plus
Fabric
Configurable Tile
Circuits plus
FabricConfigurable
Tile
Circuits plus
Fabric
Configurable
Tile
Circuits plus
Fabric
Configurable
Tile
Circuits plus
Fabric
Agile ASICs – Flexible Routing Control & Access
Configurable
Tile
Full Custom
Circuit
Black Box
KEEP OUT
White Box
Gray Box
Black Box
White Box
ViaPath free to route through this
area and use available circuits.
Grey Box
ViaPath free to route through this
area on unused tracks only. Don’t
move used circuits or tracks. Don’t
make use of unused resources.
Black Box
Keep out. Don’t route through this
area nor use any resources.
Complete Agile ASICs Assembled in Days
Days
Triad’s Spectrum of Agile ASIC Solutions
Agile ASIC™
TS
100% Via Reconfigurable
Focused
Agile ASIC™
TS
Reconfigurability in
Focused areas only
Full-Custom
ASIC
TS
100% Full Custom
Cost, Size Optimized
Agile Response to Problems
ASIC functioned to spec
Failed In System
Output load off by 20x in the spec
And, Error replicated 28 times
Total rip-up required
Weekend
• Schematics changed
• Simulated
Hours
• ViaPath routed new circuit
4 Weeks
• ViaOnly single mask fabrication
6 Month Delay  1 Month Fix
Agile ASIC Rapid ResponseProblem
Agile Response to Problems
New Feature Request
After Tape Out!
Customer feared NRE upcharge
Anticipated large schedule hit
Scrap 1-mask only
Days
• Schematic Capture, Simulation
• ViaPath Place & Route
4 Weeks & Single Mask
• ViaOnly single mask fabrication
Major Cost & Time Savings
Agile ASIC Rapid ResponseProblem
How fast?
Kickoff to working silicon
New Agile ASIC
Complete mask set
60
days
Triad Semiconductor Snapshot
Headquarters
Winston-Salem NC
Fabless semiconductor company
Founded 2002
53 Employees
32 IC Design Professionals
Regional Sales Offices
• West Palm FL
• Knoxville TN
• Dallas TX
• Green Bay WI
• Minneapolis MN
• Saginaw MI
• San Jose CA
Focus Design and manufacture of custom analog and mixed signal integrated circuits.
A rapid, low risk, cost
optimized approach to
mixed signal ASIC
development.
Analog Aware™ place
and route software that
configures designs by
placing vias in Triad’s
patented routing fabric.
Mixed signal circuit
design, simulation and
analog synthesis of
circuits created by
ViaWizard™ generators.
Agile ASICs™ ViaPath™ ViaDesigner™ ViaWizards™
Expert system software
that generates
Configurable IP (cIP™)
from user specified
parameters.
Differentiating Technology
Markets
Solutions
For highest volume applications .Full Custom ASIC
Re-configurability in focused areas of the design.Focused Agile ASIC
Entire ASIC is 100% re-configurability.Agile ASIC
Partners
defense industrial medical automotive consumer
Fast-Full-Custom™
Ultimate Time to
Market And
Low Cost Solution

More Related Content

What's hot

Physical Design Services
Physical Design ServicesPhysical Design Services
Physical Design Services
eInfochips (An Arrow Company)
 
Fpga
FpgaFpga
KaiSemi - FPGA to ASIC Conversions
KaiSemi  - FPGA to ASIC ConversionsKaiSemi  - FPGA to ASIC Conversions
KaiSemi - FPGA to ASIC Conversions
kaisemi
 
ASIC Design and Implementation
ASIC Design and ImplementationASIC Design and Implementation
ASIC Design and Implementation
skerlj
 
The comparison between FPGA , ARDUINO , ASIC
The comparison between FPGA , ARDUINO , ASIC The comparison between FPGA , ARDUINO , ASIC
The comparison between FPGA , ARDUINO , ASIC Mohamed Youssery
 
Sci scada toolbox
Sci scada toolboxSci scada toolbox
Sci scada toolbox
Awesomejk
 
Low-Power Design and Verification
Low-Power Design and VerificationLow-Power Design and Verification
Low-Power Design and VerificationDVClub
 
SoC~FPGA~ASIC~Embedded
SoC~FPGA~ASIC~EmbeddedSoC~FPGA~ASIC~Embedded
SoC~FPGA~ASIC~Embedded
Chili.CHIPS
 
Digital VLSI Design and FPGA Implementation
Digital VLSI Design and FPGA ImplementationDigital VLSI Design and FPGA Implementation
Digital VLSI Design and FPGA ImplementationAmber Bhaumik
 
Stinson post si and verification
Stinson post si and verificationStinson post si and verification
Stinson post si and verificationObsidian Software
 
Ayar Labs TeraPHY: A Chiplet Technology for Low-Power, High-Bandwidth In-Pack...
Ayar Labs TeraPHY: A Chiplet Technology for Low-Power, High-Bandwidth In-Pack...Ayar Labs TeraPHY: A Chiplet Technology for Low-Power, High-Bandwidth In-Pack...
Ayar Labs TeraPHY: A Chiplet Technology for Low-Power, High-Bandwidth In-Pack...
inside-BigData.com
 
Compare between FPGA , ARDUINO , ASIC ..
Compare between FPGA , ARDUINO , ASIC ..Compare between FPGA , ARDUINO , ASIC ..
Compare between FPGA , ARDUINO , ASIC ..Mohamed Youssery
 
6 months/weeks training in Vlsi,jalandhar
6 months/weeks training in Vlsi,jalandhar6 months/weeks training in Vlsi,jalandhar
6 months/weeks training in Vlsi,jalandhar
deepikakaler1
 
Implementing Electrical and Simulation Rule Checks to ensure Signal Quality
Implementing Electrical and Simulation Rule Checks to ensure Signal QualityImplementing Electrical and Simulation Rule Checks to ensure Signal Quality
Implementing Electrical and Simulation Rule Checks to ensure Signal Quality
EMA Design Automation
 
Toshiba 65nm Flyer
Toshiba 65nm FlyerToshiba 65nm Flyer
Toshiba 65nm Flyer
Sven Hegner
 
Introduction to architecture exploration
Introduction to architecture explorationIntroduction to architecture exploration
Introduction to architecture exploration
Deepak Shankar
 
System On Chip (SOC)
System On Chip (SOC)System On Chip (SOC)
System On Chip (SOC)Shivam Gupta
 
ScilabTEC 2015 - Noesis Solutions
ScilabTEC 2015 - Noesis SolutionsScilabTEC 2015 - Noesis Solutions
ScilabTEC 2015 - Noesis Solutions
Scilab
 
Tomorrow’s Data Centre, Today
Tomorrow’s Data Centre, TodayTomorrow’s Data Centre, Today
Tomorrow’s Data Centre, Today
Panduit
 

What's hot (20)

Physical Design Services
Physical Design ServicesPhysical Design Services
Physical Design Services
 
Fpga
FpgaFpga
Fpga
 
KaiSemi - FPGA to ASIC Conversions
KaiSemi  - FPGA to ASIC ConversionsKaiSemi  - FPGA to ASIC Conversions
KaiSemi - FPGA to ASIC Conversions
 
ASIC Design and Implementation
ASIC Design and ImplementationASIC Design and Implementation
ASIC Design and Implementation
 
The comparison between FPGA , ARDUINO , ASIC
The comparison between FPGA , ARDUINO , ASIC The comparison between FPGA , ARDUINO , ASIC
The comparison between FPGA , ARDUINO , ASIC
 
Sci scada toolbox
Sci scada toolboxSci scada toolbox
Sci scada toolbox
 
Low-Power Design and Verification
Low-Power Design and VerificationLow-Power Design and Verification
Low-Power Design and Verification
 
SoC~FPGA~ASIC~Embedded
SoC~FPGA~ASIC~EmbeddedSoC~FPGA~ASIC~Embedded
SoC~FPGA~ASIC~Embedded
 
Digital VLSI Design and FPGA Implementation
Digital VLSI Design and FPGA ImplementationDigital VLSI Design and FPGA Implementation
Digital VLSI Design and FPGA Implementation
 
Stinson post si and verification
Stinson post si and verificationStinson post si and verification
Stinson post si and verification
 
Ayar Labs TeraPHY: A Chiplet Technology for Low-Power, High-Bandwidth In-Pack...
Ayar Labs TeraPHY: A Chiplet Technology for Low-Power, High-Bandwidth In-Pack...Ayar Labs TeraPHY: A Chiplet Technology for Low-Power, High-Bandwidth In-Pack...
Ayar Labs TeraPHY: A Chiplet Technology for Low-Power, High-Bandwidth In-Pack...
 
Compare between FPGA , ARDUINO , ASIC ..
Compare between FPGA , ARDUINO , ASIC ..Compare between FPGA , ARDUINO , ASIC ..
Compare between FPGA , ARDUINO , ASIC ..
 
6 months/weeks training in Vlsi,jalandhar
6 months/weeks training in Vlsi,jalandhar6 months/weeks training in Vlsi,jalandhar
6 months/weeks training in Vlsi,jalandhar
 
Implementing Electrical and Simulation Rule Checks to ensure Signal Quality
Implementing Electrical and Simulation Rule Checks to ensure Signal QualityImplementing Electrical and Simulation Rule Checks to ensure Signal Quality
Implementing Electrical and Simulation Rule Checks to ensure Signal Quality
 
Vlsi design
Vlsi designVlsi design
Vlsi design
 
Toshiba 65nm Flyer
Toshiba 65nm FlyerToshiba 65nm Flyer
Toshiba 65nm Flyer
 
Introduction to architecture exploration
Introduction to architecture explorationIntroduction to architecture exploration
Introduction to architecture exploration
 
System On Chip (SOC)
System On Chip (SOC)System On Chip (SOC)
System On Chip (SOC)
 
ScilabTEC 2015 - Noesis Solutions
ScilabTEC 2015 - Noesis SolutionsScilabTEC 2015 - Noesis Solutions
ScilabTEC 2015 - Noesis Solutions
 
Tomorrow’s Data Centre, Today
Tomorrow’s Data Centre, TodayTomorrow’s Data Centre, Today
Tomorrow’s Data Centre, Today
 

Viewers also liked

Asic
AsicAsic
AMS® FLOW BOSS™ Instructions
AMS® FLOW BOSS™ InstructionsAMS® FLOW BOSS™ Instructions
AMS® FLOW BOSS™ InstructionsArthur Doty
 
Проект ISO 9001 в секторе транспорта и логистики в Казахстане
Проект ISO 9001 в секторе транспорта и логистики в КазахстанеПроект ISO 9001 в секторе транспорта и логистики в Казахстане
Проект ISO 9001 в секторе транспорта и логистики в Казахстане
Assel Beisekeyeva
 
State of Supply Chain Semiconductor Industry Performance
State of Supply Chain Semiconductor Industry PerformanceState of Supply Chain Semiconductor Industry Performance
State of Supply Chain Semiconductor Industry Performance
iCognitive Supply Chain Management
 
Semiconductors: The Changing Landscape
Semiconductors: The Changing LandscapeSemiconductors: The Changing Landscape
Semiconductors: The Changing Landscape
Mike Kay
 
Building IP Vendor Trust
Building IP Vendor TrustBuilding IP Vendor Trust
Building IP Vendor Trust
CAST, Inc.
 
Semiconductors: Presentation on Semiconductor and Integrated Circuits
Semiconductors: Presentation on Semiconductor and Integrated CircuitsSemiconductors: Presentation on Semiconductor and Integrated Circuits
Semiconductors: Presentation on Semiconductor and Integrated Circuits
BananaIP Counsels
 
ASIC DESIGN : PLACEMENT
ASIC DESIGN : PLACEMENTASIC DESIGN : PLACEMENT
ASIC DESIGN : PLACEMENT
helloactiva
 
Ubuntu linux introduction
Ubuntu linux introductionUbuntu linux introduction
Ubuntu linux introduction
Tien Nguyen
 
VLSI Training Course in Chandigarh (Front End Design, Back End CMOS Design)
VLSI Training Course in Chandigarh (Front End Design, Back End CMOS Design)VLSI Training Course in Chandigarh (Front End Design, Back End CMOS Design)
VLSI Training Course in Chandigarh (Front End Design, Back End CMOS Design)
Naresh Dhamija
 
Patent Licensing Companies in the Semiconductor Market Sample
Patent Licensing Companies in the Semiconductor Market SamplePatent Licensing Companies in the Semiconductor Market Sample
Patent Licensing Companies in the Semiconductor Market Sample
Knowmade
 
6 Weeks Summer IT Training in Chandigarh
6 Weeks Summer IT Training in Chandigarh6 Weeks Summer IT Training in Chandigarh
6 Weeks Summer IT Training in Chandigarh
Naresh Dhamija
 
Asics Final Presentation
Asics Final PresentationAsics Final Presentation
Asics Final Presentation
pstrada
 
Asic design flow
Asic design flowAsic design flow
Asic design flow
yogeshwaran k
 

Viewers also liked (20)

Asic
AsicAsic
Asic
 
ASIC
ASICASIC
ASIC
 
ASIC DESIGN FLOW
ASIC DESIGN FLOWASIC DESIGN FLOW
ASIC DESIGN FLOW
 
AMS® FLOW BOSS™ Instructions
AMS® FLOW BOSS™ InstructionsAMS® FLOW BOSS™ Instructions
AMS® FLOW BOSS™ Instructions
 
Проект ISO 9001 в секторе транспорта и логистики в Казахстане
Проект ISO 9001 в секторе транспорта и логистики в КазахстанеПроект ISO 9001 в секторе транспорта и логистики в Казахстане
Проект ISO 9001 в секторе транспорта и логистики в Казахстане
 
semiconductor_industry
semiconductor_industrysemiconductor_industry
semiconductor_industry
 
State of Supply Chain Semiconductor Industry Performance
State of Supply Chain Semiconductor Industry PerformanceState of Supply Chain Semiconductor Industry Performance
State of Supply Chain Semiconductor Industry Performance
 
Semiconductors: The Changing Landscape
Semiconductors: The Changing LandscapeSemiconductors: The Changing Landscape
Semiconductors: The Changing Landscape
 
Hard ips pdf
Hard ips pdfHard ips pdf
Hard ips pdf
 
Building IP Vendor Trust
Building IP Vendor TrustBuilding IP Vendor Trust
Building IP Vendor Trust
 
Asic pd
Asic pdAsic pd
Asic pd
 
Semiconductors: Presentation on Semiconductor and Integrated Circuits
Semiconductors: Presentation on Semiconductor and Integrated CircuitsSemiconductors: Presentation on Semiconductor and Integrated Circuits
Semiconductors: Presentation on Semiconductor and Integrated Circuits
 
ASIC DESIGN : PLACEMENT
ASIC DESIGN : PLACEMENTASIC DESIGN : PLACEMENT
ASIC DESIGN : PLACEMENT
 
Ubuntu linux introduction
Ubuntu linux introductionUbuntu linux introduction
Ubuntu linux introduction
 
VLSI Training Course in Chandigarh (Front End Design, Back End CMOS Design)
VLSI Training Course in Chandigarh (Front End Design, Back End CMOS Design)VLSI Training Course in Chandigarh (Front End Design, Back End CMOS Design)
VLSI Training Course in Chandigarh (Front End Design, Back End CMOS Design)
 
Patent Licensing Companies in the Semiconductor Market Sample
Patent Licensing Companies in the Semiconductor Market SamplePatent Licensing Companies in the Semiconductor Market Sample
Patent Licensing Companies in the Semiconductor Market Sample
 
6 Weeks Summer IT Training in Chandigarh
6 Weeks Summer IT Training in Chandigarh6 Weeks Summer IT Training in Chandigarh
6 Weeks Summer IT Training in Chandigarh
 
Asics Final Presentation
Asics Final PresentationAsics Final Presentation
Asics Final Presentation
 
Study of vlsi design methodologies and limitations using cad tools for cmos t...
Study of vlsi design methodologies and limitations using cad tools for cmos t...Study of vlsi design methodologies and limitations using cad tools for cmos t...
Study of vlsi design methodologies and limitations using cad tools for cmos t...
 
Asic design flow
Asic design flowAsic design flow
Asic design flow
 

Similar to Triad Semiconductor Analog and Mixed Signal ASIC Company Overview

Disruptive Technologies
Disruptive TechnologiesDisruptive Technologies
Disruptive Technologies
Internet Society
 
ASIC-DESIGN.pdf machne language explanation
ASIC-DESIGN.pdf machne language explanationASIC-DESIGN.pdf machne language explanation
ASIC-DESIGN.pdf machne language explanation
nitcse
 
Avnet Secure Micro Solutions
Avnet Secure Micro SolutionsAvnet Secure Micro Solutions
Avnet Secure Micro Solutions
Avnet Electronics Marketing
 
MAM_Presentation_2015_Autorun
MAM_Presentation_2015_AutorunMAM_Presentation_2015_Autorun
MAM_Presentation_2015_Autorunseshu tatikola
 
Digital VLSI Design : Introduction
Digital VLSI Design : IntroductionDigital VLSI Design : Introduction
Digital VLSI Design : Introduction
Usha Mehta
 
High speed-pcb-board-design-and-analysiscadence-130218085524-phpapp01
High speed-pcb-board-design-and-analysiscadence-130218085524-phpapp01High speed-pcb-board-design-and-analysiscadence-130218085524-phpapp01
High speed-pcb-board-design-and-analysiscadence-130218085524-phpapp01
khalid noman husainy
 
eInfochips Semiconductor Services
eInfochips Semiconductor ServiceseInfochips Semiconductor Services
eInfochips Semiconductor Services
eInfochips (An Arrow Company)
 
Meritronics_Company rev 07.02.14
Meritronics_Company rev 07.02.14Meritronics_Company rev 07.02.14
Meritronics_Company rev 07.02.14Fides Sales
 
PLNOG 17 - Alexis Dacquay - 100 G, Skalowalność i Widoczność
PLNOG 17 - Alexis Dacquay - 100 G, Skalowalność i WidocznośćPLNOG 17 - Alexis Dacquay - 100 G, Skalowalność i Widoczność
PLNOG 17 - Alexis Dacquay - 100 G, Skalowalność i Widoczność
PROIDEA
 
APC Corporative Presentation
APC Corporative PresentationAPC Corporative Presentation
APC Corporative PresentationAna Piumbini
 
Laying OpenStack Cinder Block Services
Laying OpenStack Cinder Block ServicesLaying OpenStack Cinder Block Services
Laying OpenStack Cinder Block Services
Kenneth Hui
 
Introduction to EDA Tools
Introduction to EDA ToolsIntroduction to EDA Tools
Introduction to EDA Tools
venkatasuman1983
 
ProSIM - opening remarks SIMPACK MBD User forum
ProSIM - opening remarks SIMPACK MBD User forumProSIM - opening remarks SIMPACK MBD User forum
ProSIM - opening remarks SIMPACK MBD User forum
ProSIM R & D Pvt. Ltd.
 
ARCOTEK Linecard FEBRUARY 2016
ARCOTEK Linecard FEBRUARY 2016ARCOTEK Linecard FEBRUARY 2016
ARCOTEK Linecard FEBRUARY 2016William Henderson
 
Convert Altera Xilinx FPGA to BaySand mcFPGA
Convert Altera Xilinx FPGA to BaySand mcFPGAConvert Altera Xilinx FPGA to BaySand mcFPGA
Convert Altera Xilinx FPGA to BaySand mcFPGA
EBBM, Inc.
 
Specialized Industry Solutions - Presentation
Specialized Industry Solutions - PresentationSpecialized Industry Solutions - Presentation
Specialized Industry Solutions - Presentation
David Bohannon
 
Sunsight Antenna Alignment intro
Sunsight Antenna Alignment introSunsight Antenna Alignment intro
Sunsight Antenna Alignment intro
John Vetter
 
Michael_Kogan_portfolio
Michael_Kogan_portfolioMichael_Kogan_portfolio
Michael_Kogan_portfolioMichael Kogan
 
Michael_Kogan_portfolio
Michael_Kogan_portfolioMichael_Kogan_portfolio
Michael_Kogan_portfolioMichael Kogan
 
Ag o product overview
Ag o product overviewAg o product overview
Ag o product overviewManoj Nagesh
 

Similar to Triad Semiconductor Analog and Mixed Signal ASIC Company Overview (20)

Disruptive Technologies
Disruptive TechnologiesDisruptive Technologies
Disruptive Technologies
 
ASIC-DESIGN.pdf machne language explanation
ASIC-DESIGN.pdf machne language explanationASIC-DESIGN.pdf machne language explanation
ASIC-DESIGN.pdf machne language explanation
 
Avnet Secure Micro Solutions
Avnet Secure Micro SolutionsAvnet Secure Micro Solutions
Avnet Secure Micro Solutions
 
MAM_Presentation_2015_Autorun
MAM_Presentation_2015_AutorunMAM_Presentation_2015_Autorun
MAM_Presentation_2015_Autorun
 
Digital VLSI Design : Introduction
Digital VLSI Design : IntroductionDigital VLSI Design : Introduction
Digital VLSI Design : Introduction
 
High speed-pcb-board-design-and-analysiscadence-130218085524-phpapp01
High speed-pcb-board-design-and-analysiscadence-130218085524-phpapp01High speed-pcb-board-design-and-analysiscadence-130218085524-phpapp01
High speed-pcb-board-design-and-analysiscadence-130218085524-phpapp01
 
eInfochips Semiconductor Services
eInfochips Semiconductor ServiceseInfochips Semiconductor Services
eInfochips Semiconductor Services
 
Meritronics_Company rev 07.02.14
Meritronics_Company rev 07.02.14Meritronics_Company rev 07.02.14
Meritronics_Company rev 07.02.14
 
PLNOG 17 - Alexis Dacquay - 100 G, Skalowalność i Widoczność
PLNOG 17 - Alexis Dacquay - 100 G, Skalowalność i WidocznośćPLNOG 17 - Alexis Dacquay - 100 G, Skalowalność i Widoczność
PLNOG 17 - Alexis Dacquay - 100 G, Skalowalność i Widoczność
 
APC Corporative Presentation
APC Corporative PresentationAPC Corporative Presentation
APC Corporative Presentation
 
Laying OpenStack Cinder Block Services
Laying OpenStack Cinder Block ServicesLaying OpenStack Cinder Block Services
Laying OpenStack Cinder Block Services
 
Introduction to EDA Tools
Introduction to EDA ToolsIntroduction to EDA Tools
Introduction to EDA Tools
 
ProSIM - opening remarks SIMPACK MBD User forum
ProSIM - opening remarks SIMPACK MBD User forumProSIM - opening remarks SIMPACK MBD User forum
ProSIM - opening remarks SIMPACK MBD User forum
 
ARCOTEK Linecard FEBRUARY 2016
ARCOTEK Linecard FEBRUARY 2016ARCOTEK Linecard FEBRUARY 2016
ARCOTEK Linecard FEBRUARY 2016
 
Convert Altera Xilinx FPGA to BaySand mcFPGA
Convert Altera Xilinx FPGA to BaySand mcFPGAConvert Altera Xilinx FPGA to BaySand mcFPGA
Convert Altera Xilinx FPGA to BaySand mcFPGA
 
Specialized Industry Solutions - Presentation
Specialized Industry Solutions - PresentationSpecialized Industry Solutions - Presentation
Specialized Industry Solutions - Presentation
 
Sunsight Antenna Alignment intro
Sunsight Antenna Alignment introSunsight Antenna Alignment intro
Sunsight Antenna Alignment intro
 
Michael_Kogan_portfolio
Michael_Kogan_portfolioMichael_Kogan_portfolio
Michael_Kogan_portfolio
 
Michael_Kogan_portfolio
Michael_Kogan_portfolioMichael_Kogan_portfolio
Michael_Kogan_portfolio
 
Ag o product overview
Ag o product overviewAg o product overview
Ag o product overview
 

Recently uploaded

一比一原版(IIT毕业证)伊利诺伊理工大学毕业证如何办理
一比一原版(IIT毕业证)伊利诺伊理工大学毕业证如何办理一比一原版(IIT毕业证)伊利诺伊理工大学毕业证如何办理
一比一原版(IIT毕业证)伊利诺伊理工大学毕业证如何办理
aozcue
 
LORRAINE ANDREI_LEQUIGAN_GOOGLE CALENDAR
LORRAINE ANDREI_LEQUIGAN_GOOGLE CALENDARLORRAINE ANDREI_LEQUIGAN_GOOGLE CALENDAR
LORRAINE ANDREI_LEQUIGAN_GOOGLE CALENDAR
lorraineandreiamcidl
 
一比一原版(UCSB毕业证)圣塔芭芭拉社区大学毕业证如何办理
一比一原版(UCSB毕业证)圣塔芭芭拉社区大学毕业证如何办理一比一原版(UCSB毕业证)圣塔芭芭拉社区大学毕业证如何办理
一比一原版(UCSB毕业证)圣塔芭芭拉社区大学毕业证如何办理
aozcue
 
Schematic Diagram MSI MS-7309 - REV 1.0 PDF .pdf
Schematic Diagram MSI MS-7309 - REV 1.0 PDF .pdfSchematic Diagram MSI MS-7309 - REV 1.0 PDF .pdf
Schematic Diagram MSI MS-7309 - REV 1.0 PDF .pdf
nikoloco007
 
Building a Raspberry Pi Robot with Dot NET 8, Blazor and SignalR - Slides Onl...
Building a Raspberry Pi Robot with Dot NET 8, Blazor and SignalR - Slides Onl...Building a Raspberry Pi Robot with Dot NET 8, Blazor and SignalR - Slides Onl...
Building a Raspberry Pi Robot with Dot NET 8, Blazor and SignalR - Slides Onl...
Peter Gallagher
 
天博体育下载-可靠的网络天博体育下载-网络天博体育下载|【​网址​🎉ac123.net🎉​】
天博体育下载-可靠的网络天博体育下载-网络天博体育下载|【​网址​🎉ac123.net🎉​】天博体育下载-可靠的网络天博体育下载-网络天博体育下载|【​网址​🎉ac123.net🎉​】
天博体育下载-可靠的网络天博体育下载-网络天博体育下载|【​网址​🎉ac123.net🎉​】
arcosarturo900
 
一比一原版(UMich毕业证)密歇根大学|安娜堡分校毕业证如何办理
一比一原版(UMich毕业证)密歇根大学|安娜堡分校毕业证如何办理一比一原版(UMich毕业证)密歇根大学|安娜堡分校毕业证如何办理
一比一原版(UMich毕业证)密歇根大学|安娜堡分校毕业证如何办理
peuce
 
欧洲杯冠军-欧洲杯冠军网站-欧洲杯冠军|【​网址​🎉ac123.net🎉​】领先全球的买球投注平台
欧洲杯冠军-欧洲杯冠军网站-欧洲杯冠军|【​网址​🎉ac123.net🎉​】领先全球的买球投注平台欧洲杯冠军-欧洲杯冠军网站-欧洲杯冠军|【​网址​🎉ac123.net🎉​】领先全球的买球投注平台
欧洲杯冠军-欧洲杯冠军网站-欧洲杯冠军|【​网址​🎉ac123.net🎉​】领先全球的买球投注平台
andreassenrolf537
 

Recently uploaded (8)

一比一原版(IIT毕业证)伊利诺伊理工大学毕业证如何办理
一比一原版(IIT毕业证)伊利诺伊理工大学毕业证如何办理一比一原版(IIT毕业证)伊利诺伊理工大学毕业证如何办理
一比一原版(IIT毕业证)伊利诺伊理工大学毕业证如何办理
 
LORRAINE ANDREI_LEQUIGAN_GOOGLE CALENDAR
LORRAINE ANDREI_LEQUIGAN_GOOGLE CALENDARLORRAINE ANDREI_LEQUIGAN_GOOGLE CALENDAR
LORRAINE ANDREI_LEQUIGAN_GOOGLE CALENDAR
 
一比一原版(UCSB毕业证)圣塔芭芭拉社区大学毕业证如何办理
一比一原版(UCSB毕业证)圣塔芭芭拉社区大学毕业证如何办理一比一原版(UCSB毕业证)圣塔芭芭拉社区大学毕业证如何办理
一比一原版(UCSB毕业证)圣塔芭芭拉社区大学毕业证如何办理
 
Schematic Diagram MSI MS-7309 - REV 1.0 PDF .pdf
Schematic Diagram MSI MS-7309 - REV 1.0 PDF .pdfSchematic Diagram MSI MS-7309 - REV 1.0 PDF .pdf
Schematic Diagram MSI MS-7309 - REV 1.0 PDF .pdf
 
Building a Raspberry Pi Robot with Dot NET 8, Blazor and SignalR - Slides Onl...
Building a Raspberry Pi Robot with Dot NET 8, Blazor and SignalR - Slides Onl...Building a Raspberry Pi Robot with Dot NET 8, Blazor and SignalR - Slides Onl...
Building a Raspberry Pi Robot with Dot NET 8, Blazor and SignalR - Slides Onl...
 
天博体育下载-可靠的网络天博体育下载-网络天博体育下载|【​网址​🎉ac123.net🎉​】
天博体育下载-可靠的网络天博体育下载-网络天博体育下载|【​网址​🎉ac123.net🎉​】天博体育下载-可靠的网络天博体育下载-网络天博体育下载|【​网址​🎉ac123.net🎉​】
天博体育下载-可靠的网络天博体育下载-网络天博体育下载|【​网址​🎉ac123.net🎉​】
 
一比一原版(UMich毕业证)密歇根大学|安娜堡分校毕业证如何办理
一比一原版(UMich毕业证)密歇根大学|安娜堡分校毕业证如何办理一比一原版(UMich毕业证)密歇根大学|安娜堡分校毕业证如何办理
一比一原版(UMich毕业证)密歇根大学|安娜堡分校毕业证如何办理
 
欧洲杯冠军-欧洲杯冠军网站-欧洲杯冠军|【​网址​🎉ac123.net🎉​】领先全球的买球投注平台
欧洲杯冠军-欧洲杯冠军网站-欧洲杯冠军|【​网址​🎉ac123.net🎉​】领先全球的买球投注平台欧洲杯冠军-欧洲杯冠军网站-欧洲杯冠军|【​网址​🎉ac123.net🎉​】领先全球的买球投注平台
欧洲杯冠军-欧洲杯冠军网站-欧洲杯冠军|【​网址​🎉ac123.net🎉​】领先全球的买球投注平台
 

Triad Semiconductor Analog and Mixed Signal ASIC Company Overview

  • 1. Triad Semiconductor Analog and Mixed Signal Agile ASIC™ Solutions
  • 2. Analog and mixed signal ASIC design and manufacturing solutions. Triad Semiconductor Agile asic development
  • 3. Proven Track Record A decade of delivering mission critical ASIC solutions defense industrial medical automotive consumer 10
  • 4. Alternative IC Vendors Standard Product Catalog Offering ASSP CSSP ASIC Others Standard Product Focused ASIC is a special case Chip must with mass appeal Organized to share your IP with your competitors
  • 5. Triad - Proudly ASIC Focused ASIC-only. ASIC-culture Your ASIC partner Your IP Vault Empowering you to differentiate in hardware
  • 6. Experienced ASIC Design Team Years of combined custom IC design experience 600
  • 7. ASIC Engineering Organization Engineering Talent Project Oriented Rigorous Processes Best-in-Class Tools Secure Infrastructure System Redundancy Specification Driven Compliance Exit Criteria Design for Test, Yield, Manufacturability True IP reuse culture Triad’s Custom IC Engineering Group 25 IC Designers Customers Project Leaders [10] IC Designers [15] Project Admins [2] Test Engineers [3] Cad Engineer Sys Admin VP of Engineering
  • 8. Triad’s ASIC Project Flow Diagram Kick-Off Meeting Initial Requirements & Specification Specification Review Digital Design Analog Design Digital Simulation Analog Simulation Initial Design Review (IDR) Complete Block Design & Block Layout Top Level Simulations Critical Design Review (CDR) Top Level Layout LVS/DRC Verification Release to Foundry Tape Out Wafer Fabrication Prototype Packaging Test and IC Delivery Package Requirements Package Selection I/O Requirements Evaluation PCB Development Bonding Diagram 1 Specification Development Major Milestone Customer Provided Joint Effort Triad Responsibility Legend 1 Highly recommended to hold kick-off meeting at Triad’s Winston-Salem NC HQ to quickly ramp the project.
  • 10. Supply Chain Distribution Partner Semiconductor Foundry Partners Optimal solution throughout the entire supply chain Package Assembly Partners Qualification Production Test Partners
  • 11. Long Term Supply Commitment is Core to Triad
  • 12. Manufacturing Partner Collectively, we have shipped over 2,000,000,000 ICs Superior Supply Chain Know-how Relationships Supply Chain Management & Optimization Market Introduction, Ramp High Volume 2B
  • 13. Triad ASIC Solutions ASIC Focused ASIC Design Excellence ASIC Quality ASIC Long-term Supply Commitment ASIC Manufacturing Partner Your trusted analog and mixed signal ASIC design and manufacturing solutions provider.
  • 14. Traditional Full Custom ASIC Challenges Long development Expensive development Risky development Difficult to make changes
  • 15. ASIC benefits and Agility…
  • 16. Agile – fast, responsive Rapid Development Mitigated Risk Change Management Cost Effective Agile ASIC™ TS
  • 17. Agile – Fast to Prototypes Agile ASICs speed time to 1st Silicon by 3 months 9 months 12 months Time to 1st Silicon Agile ASIC Traditional
  • 18. The Secret is getting from prototypes to production quickly Most mixed signal designs need 1 to 2 re-spins to achieve production readiness
  • 19. Fixing a Traditional ASIC is slow & difficult Manual Rip Up Manual Layout Full Mask Fab 6+ months
  • 20. An Agile ASIC is Responsive
  • 21. Agile ASICs modified quickly & easily Manual Rip Up Manual Layout Full Mask Fab 6+ months Via-Only Place & Route Via-Only Fab 1 to 1.5 months Agile ASICs speed up time to 2nd Silicon by 5-6 months
  • 22. An Agile ASIC is Fast & Responsive • Year Faster to Market • Predictable Schedule & Cost • Future Proof • Major Program Savings
  • 23. 26V domain5V domain1.8V domain5V domain ADC 20-bit HART Modem 1.8V Regulator Band Gap HART PHY Bias Generator Control Watchdog Triad Agile ASIC™ Agile ASICs are High Performance
  • 24. Agile ASICs are High Performance and Low Power 16 Bit ADC MCU Low Noise TIAs EEPROM RAM UARTDAC DAC Mux PLL 250µA Low Power Major architecture changes ViaOnly™ Change 50% Power Reduction
  • 25. Agile ASICs: High Performance Systems 14 Bit ADC Digital Level Shifters Temp Sensor Thermal Shutdown Voltage References 3.0V LDO 2.8V LDO 1.2V LDO 1.8V LDO 1.2V Buck Regulator 500mA 1.8V Buck Regulator 150mA Voltage Supervisor Soft Start PMIC + Precision Analog Power Fabric Isolation Substrate Noise System Noise Shielding Decoupling Power Domains
  • 26. What is an Agile ASIC? 1 Full Custom Circuits 2 Overlaid with Routing Fabric* *Fabric enlarged to show detail 3 ViaPath™ ViaOnly Place & Route Software 4 Design Configured with Vias
  • 27. Agile ASICs start with full custom circuits OpAmp OpAmp Switch Array Resistor Array Capacitor Array Logic Array Agile ASIC Circuit Regular, array based layout of resources • Proven performance • Predictable performance Supports interdigitating of elements • Mitigates thermal gradient effects • Mitigates varying wafer effects Spare resources carefully pre-planned • No difference in performance • Spares easily accessed by routing fabric
  • 28. Via Configurable Routing Fabric Patented Routing Fabric Fabric made from two vertically adjacent metal layers Arranged as a grid of overlapping routing tracks Adjacent grids rotated 90 degrees to prevent long routing blockages Interconnect & configuration accomplished with metal layers and vias. Same interconnect method used in full-custom IC design. Vias are low resistance resources ideal for connecting analog circuits
  • 29. ViaPath™ - Via-Only Place & Route Software • Analog Aware™ – Differential Routing – Twisted Pairs – Shielding – Power Routing – Power Domains – High Current Routing • 10 years of constant improvement Trusted – the US nuclear arsenal is Powered by ViaPath
  • 30. Agile ASICs – Circuits plus Fabric = Tiles Via Configurable Tile • Tightly coupled combination of circuits and routing fabric • Fabric is manually added by full-custom IC designer for optimal performance Fabric enlarged to show detail
  • 31. Agile ASICs – Tiles Speed Assembly & Optimize Area Tiles are designed with a focus on rapid chip assembly and total area optimization Configurable Tile Circuits plus Fabric Configurable Tile Circuits plus FabricConfigurable Tile Circuits plus Fabric Configurable Tile Circuits plus Fabric Configurable Tile Circuits plus Fabric
  • 32. Agile ASICs – Flexible Routing Control & Access Configurable Tile Full Custom Circuit Black Box KEEP OUT White Box Gray Box Black Box White Box ViaPath free to route through this area and use available circuits. Grey Box ViaPath free to route through this area on unused tracks only. Don’t move used circuits or tracks. Don’t make use of unused resources. Black Box Keep out. Don’t route through this area nor use any resources.
  • 33. Complete Agile ASICs Assembled in Days Days
  • 34. Triad’s Spectrum of Agile ASIC Solutions Agile ASIC™ TS 100% Via Reconfigurable Focused Agile ASIC™ TS Reconfigurability in Focused areas only Full-Custom ASIC TS 100% Full Custom Cost, Size Optimized
  • 35. Agile Response to Problems ASIC functioned to spec Failed In System Output load off by 20x in the spec And, Error replicated 28 times Total rip-up required Weekend • Schematics changed • Simulated Hours • ViaPath routed new circuit 4 Weeks • ViaOnly single mask fabrication 6 Month Delay  1 Month Fix Agile ASIC Rapid ResponseProblem
  • 36. Agile Response to Problems New Feature Request After Tape Out! Customer feared NRE upcharge Anticipated large schedule hit Scrap 1-mask only Days • Schematic Capture, Simulation • ViaPath Place & Route 4 Weeks & Single Mask • ViaOnly single mask fabrication Major Cost & Time Savings Agile ASIC Rapid ResponseProblem
  • 37. How fast? Kickoff to working silicon New Agile ASIC Complete mask set 60 days
  • 38. Triad Semiconductor Snapshot Headquarters Winston-Salem NC Fabless semiconductor company Founded 2002 53 Employees 32 IC Design Professionals Regional Sales Offices • West Palm FL • Knoxville TN • Dallas TX • Green Bay WI • Minneapolis MN • Saginaw MI • San Jose CA Focus Design and manufacture of custom analog and mixed signal integrated circuits. A rapid, low risk, cost optimized approach to mixed signal ASIC development. Analog Aware™ place and route software that configures designs by placing vias in Triad’s patented routing fabric. Mixed signal circuit design, simulation and analog synthesis of circuits created by ViaWizard™ generators. Agile ASICs™ ViaPath™ ViaDesigner™ ViaWizards™ Expert system software that generates Configurable IP (cIP™) from user specified parameters. Differentiating Technology Markets Solutions For highest volume applications .Full Custom ASIC Re-configurability in focused areas of the design.Focused Agile ASIC Entire ASIC is 100% re-configurability.Agile ASIC Partners defense industrial medical automotive consumer Fast-Full-Custom™ Ultimate Time to Market And Low Cost Solution

Editor's Notes

  1. Area Reclaimed Systematic Spare resources placed where most likely to be needed Previsions made for routing to these resources early in the design process Parasitics are well known and even taken advantage of Ten years of proven results and refinement
  2. Area Reclaimed Systematic Spare resources placed where most likely to be needed Previsions made for routing to these resources early in the design process Parasitics are well known and even taken advantage of Ten years of proven results and refinement
  3. Area Reclaimed Systematic Spare resources placed where most likely to be needed Previsions made for routing to these resources early in the design process Parasitics are well known and even taken advantage of Ten years of proven results and refinement