SlideShare a Scribd company logo
1 of 27
COST-EFFECTIVE DESIGN OF REVERSIBLE LOGIC GATES
AND ITS INDUSTRIAL APPLICATIONS
Presented designed by
AMITH BHONSLE
Masters of Technology [ VLSI Design]
VTU,Belgaum.
INTRODUCTION
Designing of a complex digital system which dissipates low power is a competitive topic in the research field
of hardware design. Heat dissipation in the circuit has become the critical limiting factor .
Rolf Landauer introduced that losing of bit in circuits causes the smallest amount of heat in computation and
the theoretical limit of energy dissipation for losing of one bit computation is KTln2
Even C.H. Bennett in 1973 also showed that the dissipated energy directly correlated to the number of lost bits
 kTln2 energy dissipation would not occur, if a computation is carried out in a reversible way .
 This is so because reversible computation does not require erasing any bit of information.
 The amount of energy dissipated in a system bears a direct relationship to the number of bits erased
during computation.
 Voltage-coded logic signals have energy of Esig = ½CV2, and this energy gets dissipated whenever
switching occurs in conventional (irreversible)
logic implemented in modern CMOS technology.
 In recent past reversible computation has emerged as a
promising technology having applications in low power
CMOS, nanotechnology, optical computing, optical
information processing, DNA computing,
bioinformatics, digital signal processing etc.
 Reversible circuits are of high interest in low-power
CMOS design, optical computing, quantum computing
and nanotechnology.
 With miniaturization it faces two issues
i) A considerable amount of energy gets dissipated in VLSI circuits.
ii) The size of the transistors are approaching quantum limits where tunnelling and other quantum phenomena are
likely to appear.
 Reversible computation does not require erasing any bit of information. Consequently, it does not dissipate any
energy for computation.
 These circuits can generate unique output vector from each input vector, and vice versa, that is, there is a
one-to-one mapping between input and output vectors.
 The second problem can be solved by doing computation with quantum resources. When we do so then it is
called quantum computing
 In quantum computing we use quantum logic gates with qubits as input state and in classical reversible
computing we use reversible logic gates and bits as input state similar to digital circuits
 Quantum teleportation, infinitesimally secured cryptography and super dense-coding do not have any classical
analogue.
 Now we may list the basic problems of reversible and quantum circuit designing as below:
-We need efficient circuit synthesis algorithms to design new circuits for some meaningful purposes.
-To improve an existing circuit in terms of circuit cost, quantum cost, delay, garbage count and other
quantitative measures we need to optimize the cost metrics.
 Programmable logic arrays (PLAs) have a number of medical and industrial applications, such as ultrasonic
flaw detection
 The reasons stem from the fact that PLAs are considerably faster than high end DSPs.
 They provide the cost effective solution to the exponentially increasing needs of industrial electronics.
REVERSIBLE LOGIC
The logical reversibility means there should be same number of output lines as the number of input lines i.e. the
number of input lines and output line must be same or there should be one to one mapping between the input and
output.
The gate must be run forward and backward i.e. the input can also be recovered or retrieved from the output.
When the device obeys these two conditions then the second law of thermodynamics guarantees that it
dissipates no heat.
For logical reversibility in the digital logics there are two conditions as follows.
1. Fan-Out is not permitted
2. Feedback is not permitted
 Reversible circuit is composed of reversible logic gates.
 Using reversible logic we can obtain full knowledge of inputs from the outputs.
 The boolean function that maps the input to output is bijective.
 Feedback in a reversible circuit cannot be visualized in the sense in which feedback is visualized in a
conventional irreversible circuit.
 Once a reversible circuit or quantum circuit is synthesized we need to evaluate its quality. Different cost
metrics such as gate count (circuit cost), number of garbage bits, quantum cost
(total number of elementary quantum gates), transistor cost and
delay are proposed
Quantitative measures of circuit costs
 
There exist several heuristic algorithms for synthesis of classical reversible circuits and quantum circuits.
1.Gate Count [Circuit cost].
Gate count is the total number of gates in a circuit.
2.Quantum Cost
The quantum cost of a reversible gate is the number of elementary quantum gates needed to implement the 
gate. It is the number of reversible gates (1×1 or 2×2) to realize the circuit. 
3.Garbage bits
Garbage bits are the additional outputs that makes a function reversible and is not used for further 
computations. It is the number of outputs that are not primary. Miller has shown that addition of new working 
lines (i.e. essentially additional garbage bits) may be helpful to reduce quantum cost of a circuit. 
4.Transistor Cost
The TrC [Transistor Cost] of a circuit is the total number of transistors required to implement the circuit.
5. Total Cost
It is often observed that reduction of circuit cost leads to increase in garbage bits and reduction of quantum 
cost leads to increase in circuit cost. Total cost (TC) is the sum of gate count of an optimized circuit, number 
of garbage bits and quantum cost. It is the count of the XOR, AND, NOT logic in the output circuit.  
5. Delay
A reversible circuit design can be visualized as a sequence of discrete time slices and depth is summation of 
total time slices.
REVERSIBLE GATE STRUCTURES
1.Fredkin Gate
3×3 Fredkin gate structure. Quantum cost of a fredkin gate is 5. 
Fredkin as  a. OR function b. AND function
2. Feynman Gate
2×2 reversible gate called Feynman gate [5]. Feynman gate is also recognized as controlled- not gate 
(CNOT). Quantum cost of a Feynman gate is 1. 
Feynman gate as a. Not gate b. Data Copier
3. Toffoli Gate
3x3 Toffoli gate. Quantum cost of a Toffoli gate is 5
4. MUX Gate
3x3 Mux gate, it’s Quantum cost is 4
MUX gate as a. AND Gate b. OR Gate
RPLA Block Implementation
 The reversible AND plane is designed by the MUX & Feynman gates to produce the required product terms 
and to prevent of fan-out .
The design of reversible AND Plane of proposed RPLA
The design of reversible OR Plane of proposed RPLA
Quantized evaluation of parameters in proposed RPLA implementation
Total Logical calculation (T)
Assuming
α = A two input XOR gate calculation
β = A two input AND gate calculation
δ = A NOT gate calculation
T = Total logical calculation
MUX gate has three XOR gate and two AND gate and one NOT gate in the output expression.
Therefore (𝑀) = 3α+2β+δ.
the total logical calculation for reversible AND plane is: T = 16× (3α+2β+δ)( for MUX gate)+ 21×1α(for
Feynman gate) = 69α + 32β+16δ.
SIMULATION RESULTS
Simulated result of reversible AND plane
Simulated result of reversible OR plane
The designed 3 input RPLA is used to implement the 1 bit full adder and 1-bit subtractor. The 1-bit full adder as shown
below is implemented using the 3 input RPLA by generating the product terms in the full adder truth table through the AND
array, and then appropriately combining the product terms through the reversible OR array to finally generate the required
SUM and CARRY output functions. Similarly, the 1-bit subtractor is implemented to generate the Difference and Borrow
output
functions.
Realization of Full Adder :-
Realization of Full Subtractor
• Now I propose a design constructing the Arithmetic Logic Unit(ALU) by using reversible logic gates instead of using
traditional logic gates. A reversible ALU whose function is the same as the traditional ALU is constructed. The
presented reversible ALU reduces the information bits use and loss by reusing the logic information bits logically and
realizes the goal of lowering power consumption.
-This figure depicts the frame of an n-bit
reversible ALU. The multi-function ALU based
on reversible logic gates mainly contains the
reversible function generator (FUNC) and the
reversible controlled unit (DXOR). The
reversible function generator and the reversible
controlled unit are cascaded by some n -Toffoli
gates and NOT gates, and arbitrary bit reversible
ALU modules can be realized by this way. In the
procedure of cascading the reversible function
generator and the reversible controlled unit, we
reuse the output signals to reduce the cost of
circuit design as mush as possible
Simulation of reversible ALU
• The logic function of the reversible ALU achieved is basically the same with that of 74181ALU
(the traditional 4-bit ALU).
CONCLUSION AND FUTURE SCOPE
In this project, emphasis is on efficient approach to design low power digital systems using proposed RPLA. An
improved design of RPLA is proposed and the concept of using MUX gate & Feynman gate for the design of RPLA is
efficient and cost-effective than the existing one. I also proposed a method for using the reversible logic gates as logic devices
to structure the reversible ALU. By using reversible logic gates instead of using traditional logic gates, the function of the
implemented reversible ALU is the same with that of the traditional ALU. It’s proved that functionality of the reversible ALU
is effective by using C ++ programming language. Comparing with the number of input bits and the discarded bits of the
traditional ALU, the reversible ALU does significantly reduce the use and loss of information bits.
Finally, the application of the RPLA is demonstrated by implementing the reversible 1-bit full adder and subtractor.
It is also demonstrated that the proposed design is highly optimized in terms of number of reversible gates. The simulated
results are also shown. So proposed RPLA will provide a new approach to the arena of low power reconfigurable computing
hardware.
It is now evident that reversible logic gates holds a great significance to the realization of the more
complex and systematic reversible circuits with reduced power consumption and loss of information bits.
THANK YOU

More Related Content

What's hot (20)

Clock divider by 3
Clock divider by 3Clock divider by 3
Clock divider by 3
 
Digital electronics - Basics
Digital electronics - BasicsDigital electronics - Basics
Digital electronics - Basics
 
Asic design
Asic designAsic design
Asic design
 
Verilog tutorial
Verilog tutorialVerilog tutorial
Verilog tutorial
 
Wallace tree multiplier.pptx1
Wallace tree multiplier.pptx1Wallace tree multiplier.pptx1
Wallace tree multiplier.pptx1
 
Data flow model -Lecture-4
Data flow model -Lecture-4Data flow model -Lecture-4
Data flow model -Lecture-4
 
Verilog lab manual (ECAD and VLSI Lab)
Verilog lab manual (ECAD and VLSI Lab)Verilog lab manual (ECAD and VLSI Lab)
Verilog lab manual (ECAD and VLSI Lab)
 
Fundamentals of FPGA
Fundamentals of FPGAFundamentals of FPGA
Fundamentals of FPGA
 
Simulation power analysis low power vlsi
Simulation power analysis   low power vlsiSimulation power analysis   low power vlsi
Simulation power analysis low power vlsi
 
Introduction to FPGAs
Introduction to FPGAsIntroduction to FPGAs
Introduction to FPGAs
 
Bit Serial multiplier using Verilog
Bit Serial multiplier using VerilogBit Serial multiplier using Verilog
Bit Serial multiplier using Verilog
 
VHDL-PRESENTATION.ppt
VHDL-PRESENTATION.pptVHDL-PRESENTATION.ppt
VHDL-PRESENTATION.ppt
 
Vlsi design flow
Vlsi design flowVlsi design flow
Vlsi design flow
 
Verilog
VerilogVerilog
Verilog
 
Crash course in verilog
Crash course in verilogCrash course in verilog
Crash course in verilog
 
faults in digital systems
faults in digital systemsfaults in digital systems
faults in digital systems
 
VLSI testing and analysis
VLSI testing and analysisVLSI testing and analysis
VLSI testing and analysis
 
Low power vlsi design ppt
Low power vlsi design pptLow power vlsi design ppt
Low power vlsi design ppt
 
Low Power VLSI Design
Low Power VLSI DesignLow Power VLSI Design
Low Power VLSI Design
 
Delays in verilog
Delays in verilogDelays in verilog
Delays in verilog
 

Viewers also liked

OPTIMIZED REVERSIBLE VEDIC MULTIPLIERS
OPTIMIZED REVERSIBLE VEDIC MULTIPLIERSOPTIMIZED REVERSIBLE VEDIC MULTIPLIERS
OPTIMIZED REVERSIBLE VEDIC MULTIPLIERSUday Prakash
 
Quantum Cost Calculation of Reversible Circuit
Quantum Cost Calculation of Reversible CircuitQuantum Cost Calculation of Reversible Circuit
Quantum Cost Calculation of Reversible CircuitSajib Mitra
 
Ieee project reversible logic gates by_amit
Ieee project reversible logic gates  by_amitIeee project reversible logic gates  by_amit
Ieee project reversible logic gates by_amitAmith Bhonsle
 
IEEE 2015 Projects for M.Tech & B.Tech VLSI
IEEE 2015 Projects for M.Tech & B.Tech VLSIIEEE 2015 Projects for M.Tech & B.Tech VLSI
IEEE 2015 Projects for M.Tech & B.Tech VLSIMaryala Srinivas
 
vedic mathematics based MAC unit
vedic mathematics based MAC unitvedic mathematics based MAC unit
vedic mathematics based MAC unitNavya Shree
 
9.design of high speed area efficient low power vedic multiplier using revers...
9.design of high speed area efficient low power vedic multiplier using revers...9.design of high speed area efficient low power vedic multiplier using revers...
9.design of high speed area efficient low power vedic multiplier using revers...nareshbk
 
A low power adder using reversible logic gates
A low power adder using reversible logic gatesA low power adder using reversible logic gates
A low power adder using reversible logic gateseSAT Journals
 
Design of High Performance 8,16,32-bit Vedic Multipliers using SCL PDK 180nm ...
Design of High Performance 8,16,32-bit Vedic Multipliers using SCL PDK 180nm ...Design of High Performance 8,16,32-bit Vedic Multipliers using SCL PDK 180nm ...
Design of High Performance 8,16,32-bit Vedic Multipliers using SCL PDK 180nm ...Angel Yogi
 
Logic gates - AND, OR, NOT, NOR, NAND, XOR, XNOR Gates.
Logic gates - AND, OR, NOT, NOR, NAND, XOR, XNOR Gates.Logic gates - AND, OR, NOT, NOR, NAND, XOR, XNOR Gates.
Logic gates - AND, OR, NOT, NOR, NAND, XOR, XNOR Gates.Satya P. Joshi
 
Direct Design of Reversible Combinational and Sequential Circuits Using PSDRM...
Direct Design of Reversible Combinational and Sequential Circuits Using PSDRM...Direct Design of Reversible Combinational and Sequential Circuits Using PSDRM...
Direct Design of Reversible Combinational and Sequential Circuits Using PSDRM...IJRES Journal
 
An Efficient Reconfigurable Filter Design for Reducing Dynamic Power
An Efficient Reconfigurable Filter Design for Reducing Dynamic PowerAn Efficient Reconfigurable Filter Design for Reducing Dynamic Power
An Efficient Reconfigurable Filter Design for Reducing Dynamic PowerEditor IJCATR
 
Minimum Cost Fault Tolerant Adder Circuits in Reversible Logic Synthesis
Minimum Cost Fault Tolerant Adder Circuits in Reversible Logic SynthesisMinimum Cost Fault Tolerant Adder Circuits in Reversible Logic Synthesis
Minimum Cost Fault Tolerant Adder Circuits in Reversible Logic SynthesisSajib Mitra
 
Presentation energy efficient code converters using reversible logic gates
Presentation energy efficient code converters using reversible logic gatesPresentation energy efficient code converters using reversible logic gates
Presentation energy efficient code converters using reversible logic gatesAdityakumar2208
 
Chap iii-Logic Gates
Chap iii-Logic GatesChap iii-Logic Gates
Chap iii-Logic GatesBala Ganesh
 
Basic gates and functions
Basic gates and functionsBasic gates and functions
Basic gates and functionspong_sk1
 

Viewers also liked (20)

OPTIMIZED REVERSIBLE VEDIC MULTIPLIERS
OPTIMIZED REVERSIBLE VEDIC MULTIPLIERSOPTIMIZED REVERSIBLE VEDIC MULTIPLIERS
OPTIMIZED REVERSIBLE VEDIC MULTIPLIERS
 
Quantum Cost Calculation of Reversible Circuit
Quantum Cost Calculation of Reversible CircuitQuantum Cost Calculation of Reversible Circuit
Quantum Cost Calculation of Reversible Circuit
 
Reversible booth ppt
Reversible booth pptReversible booth ppt
Reversible booth ppt
 
Ieee project reversible logic gates by_amit
Ieee project reversible logic gates  by_amitIeee project reversible logic gates  by_amit
Ieee project reversible logic gates by_amit
 
IEEE 2015 Projects for M.Tech & B.Tech VLSI
IEEE 2015 Projects for M.Tech & B.Tech VLSIIEEE 2015 Projects for M.Tech & B.Tech VLSI
IEEE 2015 Projects for M.Tech & B.Tech VLSI
 
vedic mathematics based MAC unit
vedic mathematics based MAC unitvedic mathematics based MAC unit
vedic mathematics based MAC unit
 
9.design of high speed area efficient low power vedic multiplier using revers...
9.design of high speed area efficient low power vedic multiplier using revers...9.design of high speed area efficient low power vedic multiplier using revers...
9.design of high speed area efficient low power vedic multiplier using revers...
 
A low power adder using reversible logic gates
A low power adder using reversible logic gatesA low power adder using reversible logic gates
A low power adder using reversible logic gates
 
Design of High Performance 8,16,32-bit Vedic Multipliers using SCL PDK 180nm ...
Design of High Performance 8,16,32-bit Vedic Multipliers using SCL PDK 180nm ...Design of High Performance 8,16,32-bit Vedic Multipliers using SCL PDK 180nm ...
Design of High Performance 8,16,32-bit Vedic Multipliers using SCL PDK 180nm ...
 
Logic gates - AND, OR, NOT, NOR, NAND, XOR, XNOR Gates.
Logic gates - AND, OR, NOT, NOR, NAND, XOR, XNOR Gates.Logic gates - AND, OR, NOT, NOR, NAND, XOR, XNOR Gates.
Logic gates - AND, OR, NOT, NOR, NAND, XOR, XNOR Gates.
 
Direct Design of Reversible Combinational and Sequential Circuits Using PSDRM...
Direct Design of Reversible Combinational and Sequential Circuits Using PSDRM...Direct Design of Reversible Combinational and Sequential Circuits Using PSDRM...
Direct Design of Reversible Combinational and Sequential Circuits Using PSDRM...
 
An Efficient Reconfigurable Filter Design for Reducing Dynamic Power
An Efficient Reconfigurable Filter Design for Reducing Dynamic PowerAn Efficient Reconfigurable Filter Design for Reducing Dynamic Power
An Efficient Reconfigurable Filter Design for Reducing Dynamic Power
 
Minimum Cost Fault Tolerant Adder Circuits in Reversible Logic Synthesis
Minimum Cost Fault Tolerant Adder Circuits in Reversible Logic SynthesisMinimum Cost Fault Tolerant Adder Circuits in Reversible Logic Synthesis
Minimum Cost Fault Tolerant Adder Circuits in Reversible Logic Synthesis
 
robust image watermarking
robust image watermarkingrobust image watermarking
robust image watermarking
 
Reversible code converter
Reversible code converterReversible code converter
Reversible code converter
 
Bluetooth
BluetoothBluetooth
Bluetooth
 
Presentation energy efficient code converters using reversible logic gates
Presentation energy efficient code converters using reversible logic gatesPresentation energy efficient code converters using reversible logic gates
Presentation energy efficient code converters using reversible logic gates
 
Chap iii-Logic Gates
Chap iii-Logic GatesChap iii-Logic Gates
Chap iii-Logic Gates
 
9. logic gates._rr
9. logic gates._rr9. logic gates._rr
9. logic gates._rr
 
Basic gates and functions
Basic gates and functionsBasic gates and functions
Basic gates and functions
 

Similar to Ieee project reversible logic gates by_amit

International Journal of Engineering and Science Invention (IJESI)
International Journal of Engineering and Science Invention (IJESI) International Journal of Engineering and Science Invention (IJESI)
International Journal of Engineering and Science Invention (IJESI) inventionjournals
 
High Speed Time Efficient Reversible ALU Based Logic Gate Structure on Vertex...
High Speed Time Efficient Reversible ALU Based Logic Gate Structure on Vertex...High Speed Time Efficient Reversible ALU Based Logic Gate Structure on Vertex...
High Speed Time Efficient Reversible ALU Based Logic Gate Structure on Vertex...IJERD Editor
 
Designing Conservative Reversible N-Bit Binary Comparator for Emerging Quantu...
Designing Conservative Reversible N-Bit Binary Comparator for Emerging Quantu...Designing Conservative Reversible N-Bit Binary Comparator for Emerging Quantu...
Designing Conservative Reversible N-Bit Binary Comparator for Emerging Quantu...VIT-AP University
 
QUANTUM COMPUTING FOR VLSI : VERILOG IMPLEMENTATION OF REVERSIBLE LOGIC GATES
QUANTUM COMPUTING FOR VLSI : VERILOG IMPLEMENTATION OF REVERSIBLE LOGIC GATESQUANTUM COMPUTING FOR VLSI : VERILOG IMPLEMENTATION OF REVERSIBLE LOGIC GATES
QUANTUM COMPUTING FOR VLSI : VERILOG IMPLEMENTATION OF REVERSIBLE LOGIC GATESDrKavitaKhare
 
Review On 2:4 Decoder By Reversible Logic Gates For Low Power Consumption
Review On 2:4 Decoder By Reversible Logic Gates For Low Power ConsumptionReview On 2:4 Decoder By Reversible Logic Gates For Low Power Consumption
Review On 2:4 Decoder By Reversible Logic Gates For Low Power ConsumptionIRJET Journal
 
DESIGN OF PARITY PRESERVING LOGIC BASED FAULT TOLERANT REVERSIBLE ARITHMETIC ...
DESIGN OF PARITY PRESERVING LOGIC BASED FAULT TOLERANT REVERSIBLE ARITHMETIC ...DESIGN OF PARITY PRESERVING LOGIC BASED FAULT TOLERANT REVERSIBLE ARITHMETIC ...
DESIGN OF PARITY PRESERVING LOGIC BASED FAULT TOLERANT REVERSIBLE ARITHMETIC ...VLSICS Design
 
A review on reversible logic gates and their implementation
A review on reversible logic gates and their implementationA review on reversible logic gates and their implementation
A review on reversible logic gates and their implementationDebraj Maji
 
DESIGN OF REVERSIBLE MULTIPLIERS FOR LINEAR FILTERING APPLICATIONS IN DSP
DESIGN OF REVERSIBLE MULTIPLIERS FOR LINEAR FILTERING APPLICATIONS IN DSPDESIGN OF REVERSIBLE MULTIPLIERS FOR LINEAR FILTERING APPLICATIONS IN DSP
DESIGN OF REVERSIBLE MULTIPLIERS FOR LINEAR FILTERING APPLICATIONS IN DSPVLSICS Design
 
An Area Efficient and High Speed Reversible Multiplier Using NS Gate
An Area Efficient and High Speed Reversible Multiplier Using NS GateAn Area Efficient and High Speed Reversible Multiplier Using NS Gate
An Area Efficient and High Speed Reversible Multiplier Using NS GateIJERA Editor
 
SCOPE OF REVERSIBLE ENGINEERING AT GATE-LEVEL: FAULT-TOLERANT COMBINATIONAL A...
SCOPE OF REVERSIBLE ENGINEERING AT GATE-LEVEL: FAULT-TOLERANT COMBINATIONAL A...SCOPE OF REVERSIBLE ENGINEERING AT GATE-LEVEL: FAULT-TOLERANT COMBINATIONAL A...
SCOPE OF REVERSIBLE ENGINEERING AT GATE-LEVEL: FAULT-TOLERANT COMBINATIONAL A...VLSICS Design
 
IRJET- Design and Implementation of Combinational Circuits using Reversible G...
IRJET- Design and Implementation of Combinational Circuits using Reversible G...IRJET- Design and Implementation of Combinational Circuits using Reversible G...
IRJET- Design and Implementation of Combinational Circuits using Reversible G...IRJET Journal
 
IRJET- Design and Implementation of Combinational Circuits using Reversib...
IRJET-  	  Design and Implementation of Combinational Circuits using Reversib...IRJET-  	  Design and Implementation of Combinational Circuits using Reversib...
IRJET- Design and Implementation of Combinational Circuits using Reversib...IRJET Journal
 
An Extensive Literature Review on Reversible Arithmetic and Logical Unit
An Extensive Literature Review on Reversible Arithmetic and Logical UnitAn Extensive Literature Review on Reversible Arithmetic and Logical Unit
An Extensive Literature Review on Reversible Arithmetic and Logical UnitIRJET Journal
 
Paper id 27201430
Paper id 27201430Paper id 27201430
Paper id 27201430IJRAT
 
International Journal of Engineering and Science Invention (IJESI)
International Journal of Engineering and Science Invention (IJESI)International Journal of Engineering and Science Invention (IJESI)
International Journal of Engineering and Science Invention (IJESI)inventionjournals
 
VLSI projects 2014
VLSI projects 2014VLSI projects 2014
VLSI projects 2014Senthilvel S
 

Similar to Ieee project reversible logic gates by_amit (20)

International Journal of Engineering and Science Invention (IJESI)
International Journal of Engineering and Science Invention (IJESI) International Journal of Engineering and Science Invention (IJESI)
International Journal of Engineering and Science Invention (IJESI)
 
High Speed Time Efficient Reversible ALU Based Logic Gate Structure on Vertex...
High Speed Time Efficient Reversible ALU Based Logic Gate Structure on Vertex...High Speed Time Efficient Reversible ALU Based Logic Gate Structure on Vertex...
High Speed Time Efficient Reversible ALU Based Logic Gate Structure on Vertex...
 
Designing Conservative Reversible N-Bit Binary Comparator for Emerging Quantu...
Designing Conservative Reversible N-Bit Binary Comparator for Emerging Quantu...Designing Conservative Reversible N-Bit Binary Comparator for Emerging Quantu...
Designing Conservative Reversible N-Bit Binary Comparator for Emerging Quantu...
 
QUANTUM COMPUTING FOR VLSI : VERILOG IMPLEMENTATION OF REVERSIBLE LOGIC GATES
QUANTUM COMPUTING FOR VLSI : VERILOG IMPLEMENTATION OF REVERSIBLE LOGIC GATESQUANTUM COMPUTING FOR VLSI : VERILOG IMPLEMENTATION OF REVERSIBLE LOGIC GATES
QUANTUM COMPUTING FOR VLSI : VERILOG IMPLEMENTATION OF REVERSIBLE LOGIC GATES
 
S4102152159
S4102152159S4102152159
S4102152159
 
Ko2518481855
Ko2518481855Ko2518481855
Ko2518481855
 
Ko2518481855
Ko2518481855Ko2518481855
Ko2518481855
 
Review On 2:4 Decoder By Reversible Logic Gates For Low Power Consumption
Review On 2:4 Decoder By Reversible Logic Gates For Low Power ConsumptionReview On 2:4 Decoder By Reversible Logic Gates For Low Power Consumption
Review On 2:4 Decoder By Reversible Logic Gates For Low Power Consumption
 
DESIGN OF PARITY PRESERVING LOGIC BASED FAULT TOLERANT REVERSIBLE ARITHMETIC ...
DESIGN OF PARITY PRESERVING LOGIC BASED FAULT TOLERANT REVERSIBLE ARITHMETIC ...DESIGN OF PARITY PRESERVING LOGIC BASED FAULT TOLERANT REVERSIBLE ARITHMETIC ...
DESIGN OF PARITY PRESERVING LOGIC BASED FAULT TOLERANT REVERSIBLE ARITHMETIC ...
 
A review on reversible logic gates and their implementation
A review on reversible logic gates and their implementationA review on reversible logic gates and their implementation
A review on reversible logic gates and their implementation
 
DESIGN OF REVERSIBLE MULTIPLIERS FOR LINEAR FILTERING APPLICATIONS IN DSP
DESIGN OF REVERSIBLE MULTIPLIERS FOR LINEAR FILTERING APPLICATIONS IN DSPDESIGN OF REVERSIBLE MULTIPLIERS FOR LINEAR FILTERING APPLICATIONS IN DSP
DESIGN OF REVERSIBLE MULTIPLIERS FOR LINEAR FILTERING APPLICATIONS IN DSP
 
An Area Efficient and High Speed Reversible Multiplier Using NS Gate
An Area Efficient and High Speed Reversible Multiplier Using NS GateAn Area Efficient and High Speed Reversible Multiplier Using NS Gate
An Area Efficient and High Speed Reversible Multiplier Using NS Gate
 
SCOPE OF REVERSIBLE ENGINEERING AT GATE-LEVEL: FAULT-TOLERANT COMBINATIONAL A...
SCOPE OF REVERSIBLE ENGINEERING AT GATE-LEVEL: FAULT-TOLERANT COMBINATIONAL A...SCOPE OF REVERSIBLE ENGINEERING AT GATE-LEVEL: FAULT-TOLERANT COMBINATIONAL A...
SCOPE OF REVERSIBLE ENGINEERING AT GATE-LEVEL: FAULT-TOLERANT COMBINATIONAL A...
 
IRJET- Design and Implementation of Combinational Circuits using Reversible G...
IRJET- Design and Implementation of Combinational Circuits using Reversible G...IRJET- Design and Implementation of Combinational Circuits using Reversible G...
IRJET- Design and Implementation of Combinational Circuits using Reversible G...
 
IRJET- Design and Implementation of Combinational Circuits using Reversib...
IRJET-  	  Design and Implementation of Combinational Circuits using Reversib...IRJET-  	  Design and Implementation of Combinational Circuits using Reversib...
IRJET- Design and Implementation of Combinational Circuits using Reversib...
 
An Extensive Literature Review on Reversible Arithmetic and Logical Unit
An Extensive Literature Review on Reversible Arithmetic and Logical UnitAn Extensive Literature Review on Reversible Arithmetic and Logical Unit
An Extensive Literature Review on Reversible Arithmetic and Logical Unit
 
Introduction
IntroductionIntroduction
Introduction
 
Paper id 27201430
Paper id 27201430Paper id 27201430
Paper id 27201430
 
International Journal of Engineering and Science Invention (IJESI)
International Journal of Engineering and Science Invention (IJESI)International Journal of Engineering and Science Invention (IJESI)
International Journal of Engineering and Science Invention (IJESI)
 
VLSI projects 2014
VLSI projects 2014VLSI projects 2014
VLSI projects 2014
 

Recently uploaded

Introduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptxIntroduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptxupamatechverse
 
chaitra-1.pptx fake news detection using machine learning
chaitra-1.pptx  fake news detection using machine learningchaitra-1.pptx  fake news detection using machine learning
chaitra-1.pptx fake news detection using machine learningmisbanausheenparvam
 
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...Dr.Costas Sachpazis
 
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur EscortsCall Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur High Profile
 
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130Suhani Kapoor
 
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...ranjana rawat
 
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur High Profile
 
Introduction to Multiple Access Protocol.pptx
Introduction to Multiple Access Protocol.pptxIntroduction to Multiple Access Protocol.pptx
Introduction to Multiple Access Protocol.pptxupamatechverse
 
GDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSCAESB
 
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...ranjana rawat
 
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...ranjana rawat
 
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝soniya singh
 
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVHARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVRajaP95
 
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...Soham Mondal
 
Analog to Digital and Digital to Analog Converter
Analog to Digital and Digital to Analog ConverterAnalog to Digital and Digital to Analog Converter
Analog to Digital and Digital to Analog ConverterAbhinavSharma374939
 
Coefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptxCoefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptxAsutosh Ranjan
 
Introduction and different types of Ethernet.pptx
Introduction and different types of Ethernet.pptxIntroduction and different types of Ethernet.pptx
Introduction and different types of Ethernet.pptxupamatechverse
 
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxDecoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxJoão Esperancinha
 

Recently uploaded (20)

Call Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCR
Call Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCRCall Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCR
Call Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCR
 
Introduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptxIntroduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptx
 
chaitra-1.pptx fake news detection using machine learning
chaitra-1.pptx  fake news detection using machine learningchaitra-1.pptx  fake news detection using machine learning
chaitra-1.pptx fake news detection using machine learning
 
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
 
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur EscortsCall Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
 
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
 
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
 
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
 
Introduction to Multiple Access Protocol.pptx
Introduction to Multiple Access Protocol.pptxIntroduction to Multiple Access Protocol.pptx
Introduction to Multiple Access Protocol.pptx
 
GDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentation
 
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
 
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
 
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
 
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVHARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
 
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
 
Analog to Digital and Digital to Analog Converter
Analog to Digital and Digital to Analog ConverterAnalog to Digital and Digital to Analog Converter
Analog to Digital and Digital to Analog Converter
 
Roadmap to Membership of RICS - Pathways and Routes
Roadmap to Membership of RICS - Pathways and RoutesRoadmap to Membership of RICS - Pathways and Routes
Roadmap to Membership of RICS - Pathways and Routes
 
Coefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptxCoefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptx
 
Introduction and different types of Ethernet.pptx
Introduction and different types of Ethernet.pptxIntroduction and different types of Ethernet.pptx
Introduction and different types of Ethernet.pptx
 
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxDecoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
 

Ieee project reversible logic gates by_amit

  • 1. COST-EFFECTIVE DESIGN OF REVERSIBLE LOGIC GATES AND ITS INDUSTRIAL APPLICATIONS Presented designed by AMITH BHONSLE Masters of Technology [ VLSI Design] VTU,Belgaum.
  • 2. INTRODUCTION Designing of a complex digital system which dissipates low power is a competitive topic in the research field of hardware design. Heat dissipation in the circuit has become the critical limiting factor . Rolf Landauer introduced that losing of bit in circuits causes the smallest amount of heat in computation and the theoretical limit of energy dissipation for losing of one bit computation is KTln2 Even C.H. Bennett in 1973 also showed that the dissipated energy directly correlated to the number of lost bits
  • 3.  kTln2 energy dissipation would not occur, if a computation is carried out in a reversible way .  This is so because reversible computation does not require erasing any bit of information.  The amount of energy dissipated in a system bears a direct relationship to the number of bits erased during computation.  Voltage-coded logic signals have energy of Esig = ½CV2, and this energy gets dissipated whenever switching occurs in conventional (irreversible) logic implemented in modern CMOS technology.  In recent past reversible computation has emerged as a promising technology having applications in low power CMOS, nanotechnology, optical computing, optical information processing, DNA computing, bioinformatics, digital signal processing etc.  Reversible circuits are of high interest in low-power CMOS design, optical computing, quantum computing and nanotechnology.
  • 4.  With miniaturization it faces two issues i) A considerable amount of energy gets dissipated in VLSI circuits. ii) The size of the transistors are approaching quantum limits where tunnelling and other quantum phenomena are likely to appear.  Reversible computation does not require erasing any bit of information. Consequently, it does not dissipate any energy for computation.  These circuits can generate unique output vector from each input vector, and vice versa, that is, there is a one-to-one mapping between input and output vectors.  The second problem can be solved by doing computation with quantum resources. When we do so then it is called quantum computing  In quantum computing we use quantum logic gates with qubits as input state and in classical reversible computing we use reversible logic gates and bits as input state similar to digital circuits
  • 5.  Quantum teleportation, infinitesimally secured cryptography and super dense-coding do not have any classical analogue.  Now we may list the basic problems of reversible and quantum circuit designing as below: -We need efficient circuit synthesis algorithms to design new circuits for some meaningful purposes. -To improve an existing circuit in terms of circuit cost, quantum cost, delay, garbage count and other quantitative measures we need to optimize the cost metrics.  Programmable logic arrays (PLAs) have a number of medical and industrial applications, such as ultrasonic flaw detection  The reasons stem from the fact that PLAs are considerably faster than high end DSPs.  They provide the cost effective solution to the exponentially increasing needs of industrial electronics.
  • 6. REVERSIBLE LOGIC The logical reversibility means there should be same number of output lines as the number of input lines i.e. the number of input lines and output line must be same or there should be one to one mapping between the input and output. The gate must be run forward and backward i.e. the input can also be recovered or retrieved from the output. When the device obeys these two conditions then the second law of thermodynamics guarantees that it dissipates no heat. For logical reversibility in the digital logics there are two conditions as follows. 1. Fan-Out is not permitted 2. Feedback is not permitted
  • 7.  Reversible circuit is composed of reversible logic gates.  Using reversible logic we can obtain full knowledge of inputs from the outputs.  The boolean function that maps the input to output is bijective.  Feedback in a reversible circuit cannot be visualized in the sense in which feedback is visualized in a conventional irreversible circuit.  Once a reversible circuit or quantum circuit is synthesized we need to evaluate its quality. Different cost metrics such as gate count (circuit cost), number of garbage bits, quantum cost (total number of elementary quantum gates), transistor cost and delay are proposed
  • 8. Quantitative measures of circuit costs   There exist several heuristic algorithms for synthesis of classical reversible circuits and quantum circuits. 1.Gate Count [Circuit cost]. Gate count is the total number of gates in a circuit. 2.Quantum Cost The quantum cost of a reversible gate is the number of elementary quantum gates needed to implement the  gate. It is the number of reversible gates (1×1 or 2×2) to realize the circuit.  3.Garbage bits Garbage bits are the additional outputs that makes a function reversible and is not used for further  computations. It is the number of outputs that are not primary. Miller has shown that addition of new working  lines (i.e. essentially additional garbage bits) may be helpful to reduce quantum cost of a circuit.  4.Transistor Cost The TrC [Transistor Cost] of a circuit is the total number of transistors required to implement the circuit.
  • 15. The design of reversible AND Plane of proposed RPLA
  • 16. The design of reversible OR Plane of proposed RPLA
  • 17. Quantized evaluation of parameters in proposed RPLA implementation Total Logical calculation (T) Assuming α = A two input XOR gate calculation β = A two input AND gate calculation δ = A NOT gate calculation T = Total logical calculation MUX gate has three XOR gate and two AND gate and one NOT gate in the output expression. Therefore (𝑀) = 3α+2β+δ. the total logical calculation for reversible AND plane is: T = 16× (3α+2β+δ)( for MUX gate)+ 21×1α(for Feynman gate) = 69α + 32β+16δ.
  • 18.
  • 19. SIMULATION RESULTS Simulated result of reversible AND plane
  • 20. Simulated result of reversible OR plane
  • 21. The designed 3 input RPLA is used to implement the 1 bit full adder and 1-bit subtractor. The 1-bit full adder as shown below is implemented using the 3 input RPLA by generating the product terms in the full adder truth table through the AND array, and then appropriately combining the product terms through the reversible OR array to finally generate the required SUM and CARRY output functions. Similarly, the 1-bit subtractor is implemented to generate the Difference and Borrow output functions. Realization of Full Adder :-
  • 22. Realization of Full Subtractor
  • 23. • Now I propose a design constructing the Arithmetic Logic Unit(ALU) by using reversible logic gates instead of using traditional logic gates. A reversible ALU whose function is the same as the traditional ALU is constructed. The presented reversible ALU reduces the information bits use and loss by reusing the logic information bits logically and realizes the goal of lowering power consumption. -This figure depicts the frame of an n-bit reversible ALU. The multi-function ALU based on reversible logic gates mainly contains the reversible function generator (FUNC) and the reversible controlled unit (DXOR). The reversible function generator and the reversible controlled unit are cascaded by some n -Toffoli gates and NOT gates, and arbitrary bit reversible ALU modules can be realized by this way. In the procedure of cascading the reversible function generator and the reversible controlled unit, we reuse the output signals to reduce the cost of circuit design as mush as possible
  • 24. Simulation of reversible ALU • The logic function of the reversible ALU achieved is basically the same with that of 74181ALU (the traditional 4-bit ALU).
  • 25.
  • 26. CONCLUSION AND FUTURE SCOPE In this project, emphasis is on efficient approach to design low power digital systems using proposed RPLA. An improved design of RPLA is proposed and the concept of using MUX gate & Feynman gate for the design of RPLA is efficient and cost-effective than the existing one. I also proposed a method for using the reversible logic gates as logic devices to structure the reversible ALU. By using reversible logic gates instead of using traditional logic gates, the function of the implemented reversible ALU is the same with that of the traditional ALU. It’s proved that functionality of the reversible ALU is effective by using C ++ programming language. Comparing with the number of input bits and the discarded bits of the traditional ALU, the reversible ALU does significantly reduce the use and loss of information bits. Finally, the application of the RPLA is demonstrated by implementing the reversible 1-bit full adder and subtractor. It is also demonstrated that the proposed design is highly optimized in terms of number of reversible gates. The simulated results are also shown. So proposed RPLA will provide a new approach to the arena of low power reconfigurable computing hardware. It is now evident that reversible logic gates holds a great significance to the realization of the more complex and systematic reversible circuits with reduced power consumption and loss of information bits.