SlideShare a Scribd company logo
K.YOGESHWARAN
ASSISTANT PROFESSOR/ECE
KIT-KALAIGNARKARUNANIDHI INSTITUTE OF
TECHNOLOGY,CIOMBATORE
emperoryogi.yogesh@gmail.com
9789631474
ASIC DESGIN FLOW
Design Flow
LOGICAL DESIGN(FRONTEND) Consists of following steps
1.Design Entry
2.Logic Synthesis
3.System Partitioning
4.Pre Layout Simulation
PHYSICAL DESIGN(BACKEND) Consists of following steps
1.Floorplanning
2.Placement
3.Routing
4.Circuit Extraction
5.Post Layout Simulation
1. Design entry - Using a hardware description language ( HDL ) or
schematic entry
2. Logic synthesis - Produces a netlist - logic cells and their
connections
3. System partitioning - Divide a large system into ASIC-sized pieces
4. Prelayout simulation - Check to see if the design functions
correctly
5. Floorplanning - Arrange the blocks of the netlist on the chip
6. Placement - Decide the locations of cells in a block
7. Routing - Make the connections between cells and blocks
8. Extraction - Determine the resistance and capacitance of the
interconnect
9. Postlayout simulation - Check to see the design still works with
the added loads of the interconnect
1.Design Entry
 The designer starts the design with a text description
or system specific language like HDL, C language etc.
2.Logic Synthesis
Logic synthesis is the process of converting a high-
level description of design into an optimized gate-level
representation.
It generally helps to produce the netlist consisting the
description and interconnection of logic cells.
3.System Partitioning
 Goal: Partition of a System into number of ASIC’s
 Objective: Minimize the number of external
connection between each ASIC. Keep each ASIC
smaller than max size.
Partitioning of a large design into a small
ASIC design takes place.
This is done mainly to separate different
functional blocks and also to make
placement and routing easier.
4.Pre Layout Simulation
Prelayout Simulation allows checking whether
the design functions correct or not.
Gate level functionality and timing(Delay)
details can be verified.
It is also called as Functional Verification.
5.Floorplanning
Goal: Calculate the size of blocks and assign
them locations.
Objective: Keep highly connected blocks
physically close to each other.
It is the first step in the physical design flow.
Arrange the blocks of the netlist on the chip.
It is the Tentative placement of its major
functional blocks.
Slicing Floorplan:
One that can be obtained by
repetitively subdividing (slicing)
rectangles horizontally or vertically.
Non-Slicing Floorplan:
One that may not be obtained by
repetitively subdividing alone.
6.Placement
Goal: Assign the interconnect areas and the
locations of all the logic cells with in the flexible
block.
Objective: Minimize the ASIC area and the
interconnects.
Allows the placement of cells present in the
block.
Assigns exact locations for various circuit
components within the chip’s core area.
Placement is much more suited to automation
than Floorplanning.
7.Routing
 Make the connections between cells and blocks.
 It is the process of creating physical connections
based on logical connectivity. signal pins are
connected by routing metal interconnects.
Global Routing:
 Goal: Determine the location of all the interconnects.
Objective: Minimize the total interconnect area.
 Wire segments are tentatively assigned (embedded)
within the chip layout .
Detailed Routing:
 Goal: Completely route all the interconnects on the
chip.
 Objective: Minimize the total interconnect length
used.
 Find actual geometric layout of each net within
assigned routing regions.
8.Circuit Extraction
 Determine the resistance and capacitance of the
interconnect.
 Basically it’s link between two domains.
1.Physical Domain
2.Electrical Domain
 Physical domain -it’s uses the physical information
like shapes of the design.
 Electrical domain-provide the electrical information's
(connectivity of C,R,L)
9.Postlayout simulation
Post-layout simulation you can extract the
parasitic interconnect.
To verify that your functional stimulus still
works with accurate timing.
THANK YOU

More Related Content

What's hot

Vlsi
VlsiVlsi
VLSI routing
VLSI routingVLSI routing
VLSI routing
Naveen Kumar
 
Placement and algorithm.
Placement and algorithm.Placement and algorithm.
Placement and algorithm.
Ashish Singh
 
FPGA
FPGAFPGA
Placement and routing in full custom physical design
Placement and routing in full custom physical designPlacement and routing in full custom physical design
Placement and routing in full custom physical designDeiptii Das
 
Vlsi physical design-notes
Vlsi physical design-notesVlsi physical design-notes
Vlsi physical design-notes
Dr.YNM
 
Fpga(field programmable gate array)
Fpga(field programmable gate array) Fpga(field programmable gate array)
Fpga(field programmable gate array) Iffat Anjum
 
System partitioning in VLSI and its considerations
System partitioning in VLSI and its considerationsSystem partitioning in VLSI and its considerations
System partitioning in VLSI and its considerationsSubash John
 
Physical design
Physical design Physical design
Physical design
Manjunath hosmani
 
Introduction to VLSI
Introduction to VLSI Introduction to VLSI
Introduction to VLSI illpa
 
Vlsi physical design automation on partitioning
Vlsi physical design automation on partitioningVlsi physical design automation on partitioning
Vlsi physical design automation on partitioning
Sushil Kundu
 
Complex Programmable Logic Device (CPLD) Architecture and Its Applications
Complex Programmable Logic Device (CPLD) Architecture and Its ApplicationsComplex Programmable Logic Device (CPLD) Architecture and Its Applications
Complex Programmable Logic Device (CPLD) Architecture and Its Applications
elprocus
 
Asic design
Asic designAsic design
Fpga architectures and applications
Fpga architectures and applicationsFpga architectures and applications
Fpga architectures and applications
Sudhanshu Janwadkar
 
Clock Tree Timing 101
Clock Tree Timing 101Clock Tree Timing 101
Clock Tree Timing 101
Silicon Labs
 
System On Chip
System On ChipSystem On Chip
System On Chipanishgoel
 
Vlsi interview questions compilation
Vlsi interview questions compilationVlsi interview questions compilation
Vlsi interview questions compilation
Rajesh M
 
Vlsi Synthesis
Vlsi SynthesisVlsi Synthesis
Vlsi Synthesis
SIVA NAGENDRA REDDY
 
ASIC Design and Implementation
ASIC Design and ImplementationASIC Design and Implementation
ASIC Design and Implementation
skerlj
 

What's hot (20)

Vlsi
VlsiVlsi
Vlsi
 
VLSI routing
VLSI routingVLSI routing
VLSI routing
 
Placement and algorithm.
Placement and algorithm.Placement and algorithm.
Placement and algorithm.
 
FPGA
FPGAFPGA
FPGA
 
Placement and routing in full custom physical design
Placement and routing in full custom physical designPlacement and routing in full custom physical design
Placement and routing in full custom physical design
 
Vlsi physical design-notes
Vlsi physical design-notesVlsi physical design-notes
Vlsi physical design-notes
 
Fpga(field programmable gate array)
Fpga(field programmable gate array) Fpga(field programmable gate array)
Fpga(field programmable gate array)
 
System partitioning in VLSI and its considerations
System partitioning in VLSI and its considerationsSystem partitioning in VLSI and its considerations
System partitioning in VLSI and its considerations
 
Physical design
Physical design Physical design
Physical design
 
Introduction to VLSI
Introduction to VLSI Introduction to VLSI
Introduction to VLSI
 
Vlsi physical design automation on partitioning
Vlsi physical design automation on partitioningVlsi physical design automation on partitioning
Vlsi physical design automation on partitioning
 
Complex Programmable Logic Device (CPLD) Architecture and Its Applications
Complex Programmable Logic Device (CPLD) Architecture and Its ApplicationsComplex Programmable Logic Device (CPLD) Architecture and Its Applications
Complex Programmable Logic Device (CPLD) Architecture and Its Applications
 
Asic design
Asic designAsic design
Asic design
 
Fpga architectures and applications
Fpga architectures and applicationsFpga architectures and applications
Fpga architectures and applications
 
Clock Tree Timing 101
Clock Tree Timing 101Clock Tree Timing 101
Clock Tree Timing 101
 
Actel fpga
Actel fpgaActel fpga
Actel fpga
 
System On Chip
System On ChipSystem On Chip
System On Chip
 
Vlsi interview questions compilation
Vlsi interview questions compilationVlsi interview questions compilation
Vlsi interview questions compilation
 
Vlsi Synthesis
Vlsi SynthesisVlsi Synthesis
Vlsi Synthesis
 
ASIC Design and Implementation
ASIC Design and ImplementationASIC Design and Implementation
ASIC Design and Implementation
 

Viewers also liked

VLSI Training presentation
VLSI Training presentationVLSI Training presentation
VLSI Training presentationDaola Khungur
 
vlsi design summer training ppt
vlsi design summer training pptvlsi design summer training ppt
vlsi design summer training ppt
Bhagwan Lal Teli
 
Basics Of VLSI
Basics Of VLSIBasics Of VLSI
Basics Of VLSI
Avanish Agarwal
 
Group discussion
Group discussionGroup discussion
Group discussion
A B Shinde
 
Computer Aided Design: Global Routing
Computer Aided Design:  Global RoutingComputer Aided Design:  Global Routing
Computer Aided Design: Global Routing
Team-VLSI-ITMU
 
Digital standard cell library Design flow
Digital standard cell library Design flowDigital standard cell library Design flow
Digital standard cell library Design flow
ijsrd.com
 
WiGig power point presenttion
WiGig power point presenttionWiGig power point presenttion
WiGig power point presenttion
Vishal S Pai
 
Asic design lect1 2 august 28 2012
Asic design lect1 2 august 28 2012Asic design lect1 2 august 28 2012
Asic design lect1 2 august 28 2012babak danyal
 
Full Custom IC Design Implementation of Priority Encoder
Full Custom IC Design Implementation of Priority EncoderFull Custom IC Design Implementation of Priority Encoder
Full Custom IC Design Implementation of Priority Encoder
BhargavKatkam
 
Ubuntu linux introduction
Ubuntu linux introductionUbuntu linux introduction
Ubuntu linux introduction
Tien Nguyen
 
VLSI Training Course in Chandigarh (Front End Design, Back End CMOS Design)
VLSI Training Course in Chandigarh (Front End Design, Back End CMOS Design)VLSI Training Course in Chandigarh (Front End Design, Back End CMOS Design)
VLSI Training Course in Chandigarh (Front End Design, Back End CMOS Design)
Naresh Dhamija
 
Wi-gig
Wi-gigWi-gig
Wi-gig
Mahesh Doddi
 
6 Weeks Summer IT Training in Chandigarh
6 Weeks Summer IT Training in Chandigarh6 Weeks Summer IT Training in Chandigarh
6 Weeks Summer IT Training in Chandigarh
Naresh Dhamija
 
Full custom digital ic design of priority encoder
Full custom digital ic design of priority encoderFull custom digital ic design of priority encoder
Full custom digital ic design of priority encoder
Vishesh Thakur
 
Standard cells library design
Standard cells library designStandard cells library design
Standard cells library design
Bharat Biyani
 
Wi-Gig (Wireless Gigabit Alliance) ppt
Wi-Gig (Wireless Gigabit Alliance) pptWi-Gig (Wireless Gigabit Alliance) ppt
Wi-Gig (Wireless Gigabit Alliance) ppt
Abida Zama
 
Wireless electronic notice board using rf
Wireless electronic notice board using rfWireless electronic notice board using rf
Wireless electronic notice board using rfimshivanand
 

Viewers also liked (20)

ASIC
ASICASIC
ASIC
 
VLSI Training presentation
VLSI Training presentationVLSI Training presentation
VLSI Training presentation
 
vlsi design summer training ppt
vlsi design summer training pptvlsi design summer training ppt
vlsi design summer training ppt
 
Basics Of VLSI
Basics Of VLSIBasics Of VLSI
Basics Of VLSI
 
Group discussion
Group discussionGroup discussion
Group discussion
 
Computer Aided Design: Global Routing
Computer Aided Design:  Global RoutingComputer Aided Design:  Global Routing
Computer Aided Design: Global Routing
 
Digital standard cell library Design flow
Digital standard cell library Design flowDigital standard cell library Design flow
Digital standard cell library Design flow
 
WiGig power point presenttion
WiGig power point presenttionWiGig power point presenttion
WiGig power point presenttion
 
Asic design lect1 2 august 28 2012
Asic design lect1 2 august 28 2012Asic design lect1 2 august 28 2012
Asic design lect1 2 august 28 2012
 
Full Custom IC Design Implementation of Priority Encoder
Full Custom IC Design Implementation of Priority EncoderFull Custom IC Design Implementation of Priority Encoder
Full Custom IC Design Implementation of Priority Encoder
 
Ubuntu linux introduction
Ubuntu linux introductionUbuntu linux introduction
Ubuntu linux introduction
 
VLSI Training Course in Chandigarh (Front End Design, Back End CMOS Design)
VLSI Training Course in Chandigarh (Front End Design, Back End CMOS Design)VLSI Training Course in Chandigarh (Front End Design, Back End CMOS Design)
VLSI Training Course in Chandigarh (Front End Design, Back End CMOS Design)
 
Wi-gig
Wi-gigWi-gig
Wi-gig
 
6 Weeks Summer IT Training in Chandigarh
6 Weeks Summer IT Training in Chandigarh6 Weeks Summer IT Training in Chandigarh
6 Weeks Summer IT Training in Chandigarh
 
Full custom digital ic design of priority encoder
Full custom digital ic design of priority encoderFull custom digital ic design of priority encoder
Full custom digital ic design of priority encoder
 
Standard cells library design
Standard cells library designStandard cells library design
Standard cells library design
 
DSD
DSDDSD
DSD
 
Wi-Gig (Wireless Gigabit Alliance) ppt
Wi-Gig (Wireless Gigabit Alliance) pptWi-Gig (Wireless Gigabit Alliance) ppt
Wi-Gig (Wireless Gigabit Alliance) ppt
 
Wireless electronic notice board using rf
Wireless electronic notice board using rfWireless electronic notice board using rf
Wireless electronic notice board using rf
 
Asic
AsicAsic
Asic
 

Similar to Asic design flow

VLSI UNIT-1.1.pdf.ppt
VLSI UNIT-1.1.pdf.pptVLSI UNIT-1.1.pdf.ppt
VLSI UNIT-1.1.pdf.ppt
rajukolluri
 
VLSI Design- Guru.ppt
VLSI Design- Guru.pptVLSI Design- Guru.ppt
VLSI Design- Guru.ppt
Ram Pavithra Guru
 
Vlsi physical design (Back End Process)
Vlsi physical design (Back End Process)Vlsi physical design (Back End Process)
Vlsi physical design (Back End Process)
CHENCHU CHANDU PRASANTH NADELLA
 
VLSI- Unit I
VLSI- Unit IVLSI- Unit I
VLSI- Unit I
MADHUMITHA154
 
Full IC Flow.docx
Full IC Flow.docxFull IC Flow.docx
Full IC Flow.docx
VisweswaraRaoSamoju
 
Semi Custom Integrated Circuit Design
 Semi Custom Integrated Circuit Design Semi Custom Integrated Circuit Design
Semi Custom Integrated Circuit Design
Dr.YNM
 
Implementation strategies for digital ics
Implementation strategies for digital icsImplementation strategies for digital ics
Implementation strategies for digital ics
aroosa khan
 
Floor planning
Floor planningFloor planning
Floor planning
shaik sharief
 
San lesson plan gad
San lesson plan gadSan lesson plan gad
San lesson plan gad
Ravi Kalkundri
 
unit 1vlsi notes.pdf
unit 1vlsi notes.pdfunit 1vlsi notes.pdf
unit 1vlsi notes.pdf
AcademicICECE
 
An octa core processor with shared memory and message-passing
An octa core processor with shared memory and message-passingAn octa core processor with shared memory and message-passing
An octa core processor with shared memory and message-passing
eSAT Journals
 
Chapter1.slides
Chapter1.slidesChapter1.slides
Chapter1.slides
Avinash Pillai
 
ASCIC.ppt
ASCIC.pptASCIC.ppt
ASCIC.ppt
8885684828
 
SDAccel Design Contest: Intro
SDAccel Design Contest: IntroSDAccel Design Contest: Intro
SDAccel Design Contest: Intro
NECST Lab @ Politecnico di Milano
 
VLSI design flow.pptx
VLSI design flow.pptxVLSI design flow.pptx
VLSI design flow.pptx
Krishna Kishore
 
Digital VLSI Design : Introduction
Digital VLSI Design : IntroductionDigital VLSI Design : Introduction
Digital VLSI Design : Introduction
Usha Mehta
 
Vlsi design process
Vlsi design processVlsi design process
Vlsi design process
Siva Nageswararao
 

Similar to Asic design flow (20)

VLSI UNIT-1.1.pdf.ppt
VLSI UNIT-1.1.pdf.pptVLSI UNIT-1.1.pdf.ppt
VLSI UNIT-1.1.pdf.ppt
 
VLSI Design- Guru.ppt
VLSI Design- Guru.pptVLSI Design- Guru.ppt
VLSI Design- Guru.ppt
 
Vlsi physical design (Back End Process)
Vlsi physical design (Back End Process)Vlsi physical design (Back End Process)
Vlsi physical design (Back End Process)
 
Back end[1] debdeep
Back end[1]  debdeepBack end[1]  debdeep
Back end[1] debdeep
 
VLSI- Unit I
VLSI- Unit IVLSI- Unit I
VLSI- Unit I
 
Asic &fpga
Asic &fpgaAsic &fpga
Asic &fpga
 
Full IC Flow.docx
Full IC Flow.docxFull IC Flow.docx
Full IC Flow.docx
 
shashank_hpca1995_00386533
shashank_hpca1995_00386533shashank_hpca1995_00386533
shashank_hpca1995_00386533
 
Semi Custom Integrated Circuit Design
 Semi Custom Integrated Circuit Design Semi Custom Integrated Circuit Design
Semi Custom Integrated Circuit Design
 
Implementation strategies for digital ics
Implementation strategies for digital icsImplementation strategies for digital ics
Implementation strategies for digital ics
 
Floor planning
Floor planningFloor planning
Floor planning
 
San lesson plan gad
San lesson plan gadSan lesson plan gad
San lesson plan gad
 
unit 1vlsi notes.pdf
unit 1vlsi notes.pdfunit 1vlsi notes.pdf
unit 1vlsi notes.pdf
 
An octa core processor with shared memory and message-passing
An octa core processor with shared memory and message-passingAn octa core processor with shared memory and message-passing
An octa core processor with shared memory and message-passing
 
Chapter1.slides
Chapter1.slidesChapter1.slides
Chapter1.slides
 
ASCIC.ppt
ASCIC.pptASCIC.ppt
ASCIC.ppt
 
SDAccel Design Contest: Intro
SDAccel Design Contest: IntroSDAccel Design Contest: Intro
SDAccel Design Contest: Intro
 
VLSI design flow.pptx
VLSI design flow.pptxVLSI design flow.pptx
VLSI design flow.pptx
 
Digital VLSI Design : Introduction
Digital VLSI Design : IntroductionDigital VLSI Design : Introduction
Digital VLSI Design : Introduction
 
Vlsi design process
Vlsi design processVlsi design process
Vlsi design process
 

Recently uploaded

WATER CRISIS and its solutions-pptx 1234
WATER CRISIS and its solutions-pptx 1234WATER CRISIS and its solutions-pptx 1234
WATER CRISIS and its solutions-pptx 1234
AafreenAbuthahir2
 
Top 10 Oil and Gas Projects in Saudi Arabia 2024.pdf
Top 10 Oil and Gas Projects in Saudi Arabia 2024.pdfTop 10 Oil and Gas Projects in Saudi Arabia 2024.pdf
Top 10 Oil and Gas Projects in Saudi Arabia 2024.pdf
Teleport Manpower Consultant
 
AKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdf
AKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdfAKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdf
AKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdf
SamSarthak3
 
Student information management system project report ii.pdf
Student information management system project report ii.pdfStudent information management system project report ii.pdf
Student information management system project report ii.pdf
Kamal Acharya
 
ML for identifying fraud using open blockchain data.pptx
ML for identifying fraud using open blockchain data.pptxML for identifying fraud using open blockchain data.pptx
ML for identifying fraud using open blockchain data.pptx
Vijay Dialani, PhD
 
J.Yang, ICLR 2024, MLILAB, KAIST AI.pdf
J.Yang,  ICLR 2024, MLILAB, KAIST AI.pdfJ.Yang,  ICLR 2024, MLILAB, KAIST AI.pdf
J.Yang, ICLR 2024, MLILAB, KAIST AI.pdf
MLILAB
 
Hierarchical Digital Twin of a Naval Power System
Hierarchical Digital Twin of a Naval Power SystemHierarchical Digital Twin of a Naval Power System
Hierarchical Digital Twin of a Naval Power System
Kerry Sado
 
Governing Equations for Fundamental Aerodynamics_Anderson2010.pdf
Governing Equations for Fundamental Aerodynamics_Anderson2010.pdfGoverning Equations for Fundamental Aerodynamics_Anderson2010.pdf
Governing Equations for Fundamental Aerodynamics_Anderson2010.pdf
WENKENLI1
 
The Benefits and Techniques of Trenchless Pipe Repair.pdf
The Benefits and Techniques of Trenchless Pipe Repair.pdfThe Benefits and Techniques of Trenchless Pipe Repair.pdf
The Benefits and Techniques of Trenchless Pipe Repair.pdf
Pipe Restoration Solutions
 
MCQ Soil mechanics questions (Soil shear strength).pdf
MCQ Soil mechanics questions (Soil shear strength).pdfMCQ Soil mechanics questions (Soil shear strength).pdf
MCQ Soil mechanics questions (Soil shear strength).pdf
Osamah Alsalih
 
一比一原版(IIT毕业证)伊利诺伊理工大学毕业证成绩单专业办理
一比一原版(IIT毕业证)伊利诺伊理工大学毕业证成绩单专业办理一比一原版(IIT毕业证)伊利诺伊理工大学毕业证成绩单专业办理
一比一原版(IIT毕业证)伊利诺伊理工大学毕业证成绩单专业办理
zwunae
 
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&BDesign and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
Sreedhar Chowdam
 
一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理
一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理
一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理
ydteq
 
ethical hacking-mobile hacking methods.ppt
ethical hacking-mobile hacking methods.pptethical hacking-mobile hacking methods.ppt
ethical hacking-mobile hacking methods.ppt
Jayaprasanna4
 
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
bakpo1
 
Standard Reomte Control Interface - Neometrix
Standard Reomte Control Interface - NeometrixStandard Reomte Control Interface - Neometrix
Standard Reomte Control Interface - Neometrix
Neometrix_Engineering_Pvt_Ltd
 
The role of big data in decision making.
The role of big data in decision making.The role of big data in decision making.
The role of big data in decision making.
ankuprajapati0525
 
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
Amil Baba Dawood bangali
 
road safety engineering r s e unit 3.pdf
road safety engineering  r s e unit 3.pdfroad safety engineering  r s e unit 3.pdf
road safety engineering r s e unit 3.pdf
VENKATESHvenky89705
 
Architectural Portfolio Sean Lockwood
Architectural Portfolio Sean LockwoodArchitectural Portfolio Sean Lockwood
Architectural Portfolio Sean Lockwood
seandesed
 

Recently uploaded (20)

WATER CRISIS and its solutions-pptx 1234
WATER CRISIS and its solutions-pptx 1234WATER CRISIS and its solutions-pptx 1234
WATER CRISIS and its solutions-pptx 1234
 
Top 10 Oil and Gas Projects in Saudi Arabia 2024.pdf
Top 10 Oil and Gas Projects in Saudi Arabia 2024.pdfTop 10 Oil and Gas Projects in Saudi Arabia 2024.pdf
Top 10 Oil and Gas Projects in Saudi Arabia 2024.pdf
 
AKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdf
AKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdfAKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdf
AKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdf
 
Student information management system project report ii.pdf
Student information management system project report ii.pdfStudent information management system project report ii.pdf
Student information management system project report ii.pdf
 
ML for identifying fraud using open blockchain data.pptx
ML for identifying fraud using open blockchain data.pptxML for identifying fraud using open blockchain data.pptx
ML for identifying fraud using open blockchain data.pptx
 
J.Yang, ICLR 2024, MLILAB, KAIST AI.pdf
J.Yang,  ICLR 2024, MLILAB, KAIST AI.pdfJ.Yang,  ICLR 2024, MLILAB, KAIST AI.pdf
J.Yang, ICLR 2024, MLILAB, KAIST AI.pdf
 
Hierarchical Digital Twin of a Naval Power System
Hierarchical Digital Twin of a Naval Power SystemHierarchical Digital Twin of a Naval Power System
Hierarchical Digital Twin of a Naval Power System
 
Governing Equations for Fundamental Aerodynamics_Anderson2010.pdf
Governing Equations for Fundamental Aerodynamics_Anderson2010.pdfGoverning Equations for Fundamental Aerodynamics_Anderson2010.pdf
Governing Equations for Fundamental Aerodynamics_Anderson2010.pdf
 
The Benefits and Techniques of Trenchless Pipe Repair.pdf
The Benefits and Techniques of Trenchless Pipe Repair.pdfThe Benefits and Techniques of Trenchless Pipe Repair.pdf
The Benefits and Techniques of Trenchless Pipe Repair.pdf
 
MCQ Soil mechanics questions (Soil shear strength).pdf
MCQ Soil mechanics questions (Soil shear strength).pdfMCQ Soil mechanics questions (Soil shear strength).pdf
MCQ Soil mechanics questions (Soil shear strength).pdf
 
一比一原版(IIT毕业证)伊利诺伊理工大学毕业证成绩单专业办理
一比一原版(IIT毕业证)伊利诺伊理工大学毕业证成绩单专业办理一比一原版(IIT毕业证)伊利诺伊理工大学毕业证成绩单专业办理
一比一原版(IIT毕业证)伊利诺伊理工大学毕业证成绩单专业办理
 
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&BDesign and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
 
一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理
一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理
一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理
 
ethical hacking-mobile hacking methods.ppt
ethical hacking-mobile hacking methods.pptethical hacking-mobile hacking methods.ppt
ethical hacking-mobile hacking methods.ppt
 
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
 
Standard Reomte Control Interface - Neometrix
Standard Reomte Control Interface - NeometrixStandard Reomte Control Interface - Neometrix
Standard Reomte Control Interface - Neometrix
 
The role of big data in decision making.
The role of big data in decision making.The role of big data in decision making.
The role of big data in decision making.
 
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
 
road safety engineering r s e unit 3.pdf
road safety engineering  r s e unit 3.pdfroad safety engineering  r s e unit 3.pdf
road safety engineering r s e unit 3.pdf
 
Architectural Portfolio Sean Lockwood
Architectural Portfolio Sean LockwoodArchitectural Portfolio Sean Lockwood
Architectural Portfolio Sean Lockwood
 

Asic design flow

  • 1. K.YOGESHWARAN ASSISTANT PROFESSOR/ECE KIT-KALAIGNARKARUNANIDHI INSTITUTE OF TECHNOLOGY,CIOMBATORE emperoryogi.yogesh@gmail.com 9789631474 ASIC DESGIN FLOW
  • 3. LOGICAL DESIGN(FRONTEND) Consists of following steps 1.Design Entry 2.Logic Synthesis 3.System Partitioning 4.Pre Layout Simulation PHYSICAL DESIGN(BACKEND) Consists of following steps 1.Floorplanning 2.Placement 3.Routing 4.Circuit Extraction 5.Post Layout Simulation
  • 4. 1. Design entry - Using a hardware description language ( HDL ) or schematic entry 2. Logic synthesis - Produces a netlist - logic cells and their connections 3. System partitioning - Divide a large system into ASIC-sized pieces 4. Prelayout simulation - Check to see if the design functions correctly 5. Floorplanning - Arrange the blocks of the netlist on the chip 6. Placement - Decide the locations of cells in a block 7. Routing - Make the connections between cells and blocks 8. Extraction - Determine the resistance and capacitance of the interconnect 9. Postlayout simulation - Check to see the design still works with the added loads of the interconnect
  • 5. 1.Design Entry  The designer starts the design with a text description or system specific language like HDL, C language etc. 2.Logic Synthesis Logic synthesis is the process of converting a high- level description of design into an optimized gate-level representation. It generally helps to produce the netlist consisting the description and interconnection of logic cells.
  • 6. 3.System Partitioning  Goal: Partition of a System into number of ASIC’s  Objective: Minimize the number of external connection between each ASIC. Keep each ASIC smaller than max size.
  • 7. Partitioning of a large design into a small ASIC design takes place. This is done mainly to separate different functional blocks and also to make placement and routing easier.
  • 8. 4.Pre Layout Simulation Prelayout Simulation allows checking whether the design functions correct or not. Gate level functionality and timing(Delay) details can be verified. It is also called as Functional Verification.
  • 9. 5.Floorplanning Goal: Calculate the size of blocks and assign them locations. Objective: Keep highly connected blocks physically close to each other. It is the first step in the physical design flow. Arrange the blocks of the netlist on the chip. It is the Tentative placement of its major functional blocks.
  • 10. Slicing Floorplan: One that can be obtained by repetitively subdividing (slicing) rectangles horizontally or vertically. Non-Slicing Floorplan: One that may not be obtained by repetitively subdividing alone.
  • 11. 6.Placement Goal: Assign the interconnect areas and the locations of all the logic cells with in the flexible block. Objective: Minimize the ASIC area and the interconnects. Allows the placement of cells present in the block. Assigns exact locations for various circuit components within the chip’s core area. Placement is much more suited to automation than Floorplanning.
  • 12.
  • 13. 7.Routing  Make the connections between cells and blocks.  It is the process of creating physical connections based on logical connectivity. signal pins are connected by routing metal interconnects. Global Routing:  Goal: Determine the location of all the interconnects. Objective: Minimize the total interconnect area.  Wire segments are tentatively assigned (embedded) within the chip layout .
  • 14. Detailed Routing:  Goal: Completely route all the interconnects on the chip.  Objective: Minimize the total interconnect length used.  Find actual geometric layout of each net within assigned routing regions.
  • 15. 8.Circuit Extraction  Determine the resistance and capacitance of the interconnect.  Basically it’s link between two domains. 1.Physical Domain 2.Electrical Domain  Physical domain -it’s uses the physical information like shapes of the design.  Electrical domain-provide the electrical information's (connectivity of C,R,L)
  • 16. 9.Postlayout simulation Post-layout simulation you can extract the parasitic interconnect. To verify that your functional stimulus still works with accurate timing.