SlideShare a Scribd company logo
International Journal of VLSI design & Communication Systems (VLSICS) Vol.2, No.4, December 2011
DOI : 10.5121/vlsic.2011.2404 37
Design of Reversible Sequential Circuit Using
Reversible Logic Synthesis
Md. Belayet Ali 1
, Md. Mosharof Hossin1
and Md. Eneyat Ullah1
1
Department of Computer Science and Engineering
Mawlana Bhashani Science and Technology University, Santosh, Tangail-1902,
Bangladesh
belayet.mbstu@gmail.com, mosharof_cse@yahoo.com, eneyat_mbstu@yahoo.com
Abstract
Reversible logic is one of the most vital issue at present time and it has different areas for its application,
those are low power CMOS, quantum computing, nanotechnology, cryptography, optical computing, DNA
computing, digital signal processing (DSP), quantum dot cellular automata, communication, computer
graphics. It is not possible to realize quantum computing without implementation of reversible logic. The
main purposes of designing reversible logic are to decrease quantum cost, depth of the circuits and the
number of garbage outputs. In this paper, we have proposed a new reversible gate. And we have designed
RS flip flop and D flip flop by using our proposed gate and Peres gate. The proposed designs are better
than the existing proposed ones in terms of number of reversible gates and garbage outputs. So, this
realization is more efficient and less costly than other realizations.
Keywords
Reversible logic, Reversible gate, Power dissipation, Flip-Flop, Garbage, BME gate.
1. Introduction
Energy dissipation is an important consideration in VLSI design. Reversible logic was first
related to energy when Landauer states that information loss due to function irreversibility leads
to energy dissipation[1].This principle is further supported by Bennett that zero energy
dissipation can be achieved only when the circuit contains reversible gates [2].Information is lost
when the input vector cannot be uniquely recovered from its output vectors. Reversible logic
circuits naturally take care of heating since in a reversible logic every input vector can be
uniquely recovered from its output vectors and therefore no information is lost. According to [2]
zero energy dissipation would be possible only if the network consists of reversible gates. Thus
reversibility will become an essential property in future circuit design. Reversible circuits are also
interesting because the loss of bits of information implies energy loss [2]. Younis and Knight [3]
showed that some reversible circuits can be made asymptotically energy-lossless if their delay is
allowed to be arbitrarily large. However, reversible logic is suffering from two problems. Firstly,
there is a lack of technologies with which to build reversible gates. Work is certainly continuing
in this area. Secondly, while there is much research into how to design combinational circuits
International Journal of VLSI design & Communication Systems (VLSICS) Vol.2, No.4, December 2011
38
using reversible logic, there is little in the area of sequential reversible logic implementations.
There is no limitation inherent to reversible logic preventing the design of sequential circuits; in
fact when Tommaso Toffoli first characterized reversible logic in his 1980 work Reversible
Computing [4] he stated that “Using invertible logic gates, it is ideally possible to build a
sequential computer with zero internal power dissipation. ''To establish the relevance of reversible
and quantum computing it seems appropriate to note that the VLSI industry is moving at high
speed towards miniaturization. With miniaturization it faces two issues: i) A considerable amount
of energy gets dissipated in VLSI circuits and ii) the size of the transistors are approaching the
quantum limits where tunneling and other quantum phenomena are likely to appear. Thus, we
need a superior technology that can circumvent these problems.
This paper presents a new 4*4 reversible logic gate that is BME gate. BME gate is a universal in
the sense that it can be used to synthesize any arbitrary Boolean function. It is shown that a RS
and D Flip-Flop can be realized using proposed reversible logic gate and Peres gate. The
presented design reduces the number of gates and the number of garbage outputs.
2. Reversible Logic Synthesis and Others
Definition 2.1
A circuit is reversible if it maps each input vector into a unique output vector and vice versa.
Definition 2.2
Reversible Gates are circuits in which number of outputs is equal to the number of inputs and
there is a one to one correspondence between the vector of inputs and outputs [5], [10] and [12].
Example 2.1. Let the input vector be Iv, output vector Ov and they are defined as follows,
Iv = (Ii, Ii+1, Ii+2 …Ik-1, Ik) and Ov = (Oi, Oi+1, Oi+2 … Ok-1, Ok). For each particular i, there exits the
relationship Iv ↔ Ov [10].
A reversible circuit should be designed using minimum number of reversible logic gates. From
the point of view of reversible circuit design, there are many parameters for determining the
complexity and performance of circuits [6], [7], [8] and [11].
Definition 2.3
Garbage output refers to the number of inputs that are to be maintained constant at either 0 or 1 in
order to synthesize the given logical function [12].
Definition 2.4
Quantum cost refers to the cost of the circuit in terms of the cost of a primitive gate. It is
calculated knowing the number of primitive reversible logic gates (1*1 or 2*2) required to realize
the circuit. The quantum cost of a circuit is the minimum number of 2*2 unitary gates to represent
the circuit keeping the output unchanged. The quantum cost of a 1*1 gate is 0 and that of any 2*2
gate is the same, which is 1 [19]. So, the quantum cost of a 2*2 Feynman gate is 1. Again, the
quantum cost of a 3*3 Toffoli, Fredkin, Peres and New gate is 5, 5, 4 and 11 respectively
[20][21][22].
International Journal of VLSI design & Communication Systems (VLSICS) Vol.2, No.4, December 2011
39
Definition 2.5
Flexibility refers to the universality of a reversible logic gate in realizing more functions [12].
Definition 2.6
Gate Level refers to the number of levels in the circuit which are required to realize the given
logic functions [12].
Definition 2.7
Hardware Complexity refers to the total number of logic operation in a circuit. Means the total
number of AND, OR and EXOR operation in a circuit [9] and [12].
3. Major Several Reversible Logic
3.1 Feynman Gate
It is a 2*2 Feynman gate [13]. The input vector is I (A, B) and the output vector is O(P, Q). The
outputs are defined by P=A, Q=A⊕B. Quantum cost of a Feynman gate is 1. Figure 1 shows a
2*2 Feynman gate.
Figure 1: Feynman gate
3.2 Double Feynman Gate (F2G)
It is a 3*3 Double Feynman gate [14].The input vector is I (A, B, C) and the output vector is O
(P, Q, R). The outputs are defined by P = A, Q=A⊕B, R=A⊕C. Quantum cost of double
Feynman gate is 2.
Figure 2 shows a 3*3 Double Feynman gate.
Figure 2: Double Feynman gate
3.3 Toffoli Gate
It is a 3*3 Toffoli gate [6] The input vector is I(A, B, C) and the output vector is O(P,Q,R). The
outputs are defined by P=A, Q=B, R=AB⊕C. Quantum cost of a Toffoli gate is 5. Figure 3 shows
a 3*3 Toffoli gate.
P=A
Q=A⊕B
R=A⊕C
A
B
C
Double
Feynman
Gate
A
C
B
P=A
Q=A⊕B
R=A⊕C
● ●
P=A
Q=A⊕B
A
B
Feynman
Gate
Q=A⊕B
P=AA
B
●
International Journal of VLSI design & Communication Systems (VLSICS) Vol.2, No.4, December 2011
40
Figure 3: Toffoli gate
3.4 Fredkin Gate
It is a 3*3 Fredkin gate [7]. The input vector is I (A, B, C) and the output vector is O(P, Q, R).
The output is defined by P=A, Q= AഥB⊕AC and R= AഥC⊕AB. Quantum cost of a Fredkin gate is
5. Figure 4 shows a 3*3 Fredkin gate.
Figure 4: Fredkin gate
3.5 Peres Gate
It is a 3*3 Peres gate [15]. The input vector is I (A, B, C) and the output vector is O (P, Q, R).
The output is defined by P = A, Q = A⊕B and R=AB⊕C. Quantum cost of a Peres gate is 4.
Figure 5 shows a 3*3 Peres gate.
Figure 5: Peres gates
3.6 Double Peres gate
It is a 4*4 Double Peres Gate [16]. The input vector is I(A,B,C,D) and the output vector is
O(P,Q,R,S).The output is defined by P=A,Q=A⊕B,R=A⊕B⊕D and S=(A⊕B)D⊕AB⊕C.
Figure 6 shows a 4*4 Double Peres gate.
Figure 6: DPG gate
S= (A⊕B) D⊕AB⊕C
P=A
Q=A⊕B
R=A⊕B⊕D
A
B
C
D
DPG
Gate
A
B
C
Q=B
R=AB⊕C
P=A●
●
P=A
Q=B
R=AB⊕C
A
B
C
Toffoli
Gate
P=A
Q=AഥB ⊕AC
R=AഥC⊕AB
A
B
C
Fredkin
Gate
A
B
C
Q=AഥB⊕AC
R=AഥC⊕AB
P=A●
P=A
Q=A⊕B
R=AB⊕C
A
B
C
Peres
Gate
R=AB⊕C
Q=A⊕B
P=AA
B
C
●
● ●
International Journal of VLSI design & Communication Systems (VLSICS) Vol.2, No.4, December 2011
41
4. Related Work
4.1 RS Flip-Flop Designs
Thapliyal [18] proposed reversible clocked RS Flip-Flop which is a direct realization of
conventional irreversible circuit realized. His design is costly because it incorporated two New
gates whose quantum cost is higher than other reversible gates which is mentioned at Section 2 in
Definition 2.4. Moreover, the design contained a single fan-out which is forbidden in strict
reversible sense [23].Ashis [17] proposed design perform NAND operations using a single 3*3
Peres gate instead of using Fredkin gate, his design less costly reversible SR Flip-Flop using the
Peres gate.Since the design of Thapliyal [18] consists of two New gates and the quantum cost of
each New gate is 11, so the overall design cost increased. Moreover Ashis [17] realization
contained two redundant Feynman gates. But Ashis [17] design is less costly in terms of number
of gates, garbage bits and quantum costs than Thapliyal [18] design.
4.2 D Flip-Flop Designs
Thapliyal [18] proposed a reversible clocked D Flip-Flop that is also costly because it contained
four New gates. Moreover a fanout can be seen in the design. Ashis [17] proposed design of
reversible clocked D Flip-Flop employs the proposed SR latch. The clocked D Flip-Flop is
efficient to use in designing reversible memory circuits because of its superiority over the existing
one [18] in terms of number of gates, garbage bits and quantum cost.
5. Proposed Reversible Logic Gate
We have proposed a new 4*4 reversible logic gate named BME gate. The input vector is
I(A,B,C,D) and the output vector is O(P,Q,R,S).The output is defined by P=A, Q=AB⊕C,
R=AD⊕C and S= AഥB⊕C⊕D. The block diagram of BME gate is shown in Figure 7.
Figure 7: Block diagram of a new reversible BME gate
Table 1: Truth Table of New Reversible BME Gate
A B C D A AB⊕C AD⊕C AഥB⊕C⊕D
0 0 0 0 0 0 0 0
0 0 0 1 0 0 0 1
0 0 1 0 0 1 1 1
0 0 1 1 0 1 1 0
0 1 0 0 0 0 0 1
0 1 0 1 0 0 0 0
0 1 1 0 0 1 1 0
0 1 1 1 0 1 1 1
1 0 0 0 1 0 0 0
1 0 0 1 1 0 1 1
1 0 1 0 1 1 1 1
S= AഥB⊕C⊕D
P=A
Q=AB⊕C
R=AD⊕C
A
B
C
D
BME
Gate
International Journal of VLSI design & Communication Systems (VLSICS) Vol.2, No.4, December 2011
42
We can verify our BME gate by the truth table that the output and input vectors have one to one
mapping between them which satisfies the condition of reversibility of a gate. We can see that
from Table 1 that the 16 different input and output vectors are unique means they have one to one
mapping between them. So, BME gate satisfies the condition of reversibility.
6. Proposed Design of Reversible RS Flip-Flop
The RS Flip-Flop can be mapped with one BME and two Peres gate. The BME gate needs E, S, 1
and R inputs respectively in 1st
, 2nd
, 3rd
and 4th
input. The output ‫.ܧ‬ ܵ and ‫.ܧ‬ ܴ are realized by
one BME in the 2nd
and 3rd
outputs. Now, the 2nd
output of E. S that is ܵ̅ can be used as 2nd
input
of one Peres gate. The 3rd
output of E. R that is Rഥ can be used as 2nd
input of another Peres gate.
Thus, our design needs only 3 reversible logic gates with 4 garbage outputs to design of RS Flip-
Flip. The proposed design of RS Flip-Flip is shown in Figure 8.
Figure 8: Proposed RS Flip-Flop
6.1. Evaluation of Proposed RS Flip-Flop
Table 2: Comparison of Different RS Flip-Flop
No of gates No of garbage outputs
Proposed design 3 4
Existing design [17] 5 6
Existing design [18] 6 8
From Table 2 we can see that the design is better than the design [17] and [18].Here, we need
only 3 gates and 4 garbage outputs. So, the design is optimized than the design of [17] and [18] in
terms of no of gates and garbage outputs.
1 0 1 1 1 1 0 0
1 1 0 0 1 1 0 0
1 1 0 1 1 1 1 1
1 1 1 0 1 0 1 1
1 1 1 1 1 0 0 0
Q
Qഥ
1
Rഥ
Sത
Sത
1
Peres
Gate
Peres
Gate
1
R
E
S BME
Gate
International Journal of VLSI design & Communication Systems (VLSICS) Vol.2, No.4, December 2011
43
7. Proposed Design of Reversible D Flip-Flop Using Proposed RS Flip-
Flop
The D Flip-Flop can be mapped with one BME and two Peres gate. The BME gate needs D,
CLK, 1 and 0 inputs respectively in 1st
, 2nd
, 3rd
and 4th
input. The output D. CLK and Dഥ. CLKതതതതതതതതത are
realized by one BME in the 2nd
and 4th
outputs. Now, the 2nd
output D. CLK that is ܵ̅ can be used
as 2nd
input of one Peres gate. The 4th
output Dഥ. CLKതതതതതതതതതthat is Rഥ can be used as 2nd
input of another
Peres gate. Thus, our design needs only 3 reversible logic gates with 4 garbage outputs to design
of RS Flip-Flip. The proposed design of D Flip-Flip is shown in Figure 9.
Figure 9: Proposed reversible clocked D Flip-Flop using Proposed RS Flip-Flop
7.1. Evaluation of Proposed design of D Flip-Flop using Proposed RS Flip-Flop
Table 3: Comparison of Different D Flip-Flop
No of gates No of garbage outputs
Proposed design 3 4
Existing design [17] 5 5
Existing design [18] 7 8
From Table 3 we can see that the design is better than the design [17] and [18].Here, we need
only 3 gates and 4 garbage output. So, the design is optimized than the design of [17] and [18] in
terms of no of gates and garbage outputs.
8. Conclusions
The proposed reversible design is utilized for efficiently designing RS and D Flip-Flop. As, Flip-
Flips are most important memory elements and used in several circuits like RAM, Logic Blocks
of FPGA. We have seen by comparing the existing design with our proposed design that the
proposed design is less costly in terms of number of gates and number of garbage outputs. The
proposed design is highly optimized. So, this proposed gate can contribute significantly in the
reversible logic community. Thus, the resulting reversible sequential circuits are more cost
competent.
Q
Qഥ
1
Peres
Gate
Rഥ
Sത
Sത
1
1
0
D
E BME
Gate
Peres
Gate
International Journal of VLSI design & Communication Systems (VLSICS) Vol.2, No.4, December 2011
44
References
[1] R. Landauer, “Irreversibility and heat generation in the computing process,” IBM J. Research &
Development, vol. 5, no. 3, pp. 183–191,July 1961.
[2] C. Bennett, “Logical reversibility of computation,” IBM J. Research & Development, vol. 17, no.
6, pp. 525–532, Nov. 1973.
[3] S. Younis and T. Knight, “Asymptotically Zero Energy Split-Level Charge Recovery Logic,”
Workshop on Low Power Design, 1994.
[4] T. Toffoli, Automata, Languages and Programming. Springer Verlag, 1980, chapter: Reversible
Computing, pp. 632–644.
[5] Babu HMH, Islam MR, Chowdhury AR, Chowdhury SMA. “Synthesis of full-adder circuit using
reversible logic”, 17th International Conference on VLSI Design 2004, 757-60.
[6] T. Toffoli., “Reversible Computing”, Tech memo MIT/LCS/TM-151, MIT Lab for Computer Science
(1980).
[7] E. Fredkin and T. Toffoli, “Conservative logic,” Int’l J. Theoretical Physics, Vol. 21, pp.219 253,
1982.
[8] H.R.Bhagyalakshmi and M.K.Venkatesha, “Optimized reversible BCD adder using new reversible
logic gates”, Journal of Computing, Volume 2, Issue 2, February 2010, ISSN 2151-9617
arXiv:1002.3994v1.
[9] M. Haghparast,K. Navi, ”A Novel Reversible BCD Adder For Nanotechnology Based Systems”,
American Journal of Applied Sciences 5 (3): 282‐288, 2008,ISSN 1546‐9239.
[10] Ashis Kumer Biswas, Md. Mahmudul Hasan, Moshaddek Hasan, Ahsan Raja Chowdhury and Hafiz
Md. Hasan Babu. “A Novel Approach to Design BCD Adder and Carry Skip BCD Adder”. 21st
International Conference on VLSI Design, 1063-9667/08 $25.00 © 2008 IEEE DOI
10.1109/VLSI.2008.37.
[11] H.R.Bhagyalakshmi, M.K.venkatesha. “An improved design of a multiplier using reversible logic
gates”. International Journal of Engineering Science and Technology, Vol. 2(8), 2010, 3838-3845.
[12] Abu Sadat Md. Sayem, Masashi Ueda.” Optimization of reversible sequential circuits”. Journal of
Computing, Volume 2, Issue 6, June 2010, ISSN 2151-9617.
[13] R. Feynman, “Quantum Mechanical Computers,” Optics News, Vol.11, pp. 11–20, 1985.
[14] B. Parhami, “Fault Tolerant Reversible Circuits” Proc. 40th Asilomar Conf. Signals, Systems, and
Computers, Pacific Grove, CA, Oct.2006.
[15] A. Peres, “Reversible Logic and Quantum Computers”, Physical review A, 32:3266- 3276, 1985.
[16] W. N. N. Hung, X. Song, G. Yang, J. Yang and M. Perkowski, “Quantum Logic Synthesis by
Symbolic Reachability Analysis”, Proc. 41stannual conference on Design automation DAC, pp.838-
841, January 2004.
[17] Ashis Kumer Biswas, Lafifa Jamal, M. A. Mottalib1, Hafiz Md. Hasan Babu.” Design of a Reversible
Parallel Loading Shift Register ”.Dhaka Univ. J.Eng & Tech. Vol 1(2) 1-5,2011.
[18] Himanshu Thapliyal, M.B.Srinivas, and M.Zwolinski, "A Beginning in the Reversible Logic
Synthesis of Sequential Circuits “,MAPLD Conference (NASA office of Logic Design ), vol.8 2005.
International Journal of VLSI design & Communication Systems (VLSICS) Vol.2, No.4, December 2011
45
[19] J.Smoline and David P.DiVincenzo, “Five two-qubit gates are sufficient to implement the quantum
fredkin gate”, Physics Review A, vol. 53, no.4, pp. 2855-2856, 1996.
[20] Yang G., Song X, Hung WNN, and Marek Perkowski, “Bi-direction Synthesis for Reversible
circuits”, IEEE Computer Society Annua; Symposium on VLSI New Frontiers in VLSI Design, 2007.
[21] D. Maslov and G.W. Dueck, “Reversible cascades with Minimal Garbage”, IEEE Transactions on
CAD, vol. 23(11), pp. 1497-1509, November 2004.
[22] Richard P.Feynman, “Quantum mechanical computers”, Foundations of Physics, vol.16, no. 6,
pp.507-531,1986.
[23] D. Maslov, G.W. Dueck, D.M. Miller, “Toffoli Network Synthesis with templates”, IEEE
Transactions on Computer Aided Design of Integrated Circuits and Systems, Vol.24, Issue 6, June
2005, pp:807-817
Authors
Md. Belayet Ali, received his B.Sc (Engg.) degree in Computer Science and Engineering from Mawlana
Bhashani Science and Technology University, Santosh, Tangail-1902, Bangladesh, in 2008. He is working
as Lecturer in Department of Computer Science and Engieering at (MBSTU), Santosh, Tangail-1902,
Bangladesh. He has 2(two) years experience of working in MBSTU. He has published 04(four) papers in
national, international journals. His area of interest includes reversible logic synthesis, multi-valued
reversible logic synthesis, network business security, cloud computing.

More Related Content

What's hot

Efficient Design of Reversible Sequential Circuit
Efficient Design of Reversible Sequential CircuitEfficient Design of Reversible Sequential Circuit
Efficient Design of Reversible Sequential Circuit
IOSR Journals
 
Reduced Complexity Maximum Likelihood Decoding Algorithm for LDPC Code Correc...
Reduced Complexity Maximum Likelihood Decoding Algorithm for LDPC Code Correc...Reduced Complexity Maximum Likelihood Decoding Algorithm for LDPC Code Correc...
Reduced Complexity Maximum Likelihood Decoding Algorithm for LDPC Code Correc...
Associate Professor in VSB Coimbatore
 
AN EFFICIENT CNTFET-BASED 7-INPUT MINORITY GATE
AN EFFICIENT CNTFET-BASED 7-INPUT MINORITY GATEAN EFFICIENT CNTFET-BASED 7-INPUT MINORITY GATE
AN EFFICIENT CNTFET-BASED 7-INPUT MINORITY GATE
VLSICS Design
 
Reduced Energy Min-Max Decoding Algorithm for Ldpc Code with Adder Correction...
Reduced Energy Min-Max Decoding Algorithm for Ldpc Code with Adder Correction...Reduced Energy Min-Max Decoding Algorithm for Ldpc Code with Adder Correction...
Reduced Energy Min-Max Decoding Algorithm for Ldpc Code with Adder Correction...
ijceronline
 
DETECTION AND ELIMINATION OF NON-TRIVIAL REVERSIBLE IDENTITIES
DETECTION AND ELIMINATION OF NON-TRIVIAL REVERSIBLE IDENTITIESDETECTION AND ELIMINATION OF NON-TRIVIAL REVERSIBLE IDENTITIES
DETECTION AND ELIMINATION OF NON-TRIVIAL REVERSIBLE IDENTITIES
IJCSEA Journal
 
A short introduction to Network coding
A short introduction to Network codingA short introduction to Network coding
A short introduction to Network coding
Arash Pourdamghani
 
Low complexity design of non binary ldpc decoder using extended min-sum algor...
Low complexity design of non binary ldpc decoder using extended min-sum algor...Low complexity design of non binary ldpc decoder using extended min-sum algor...
Low complexity design of non binary ldpc decoder using extended min-sum algor...
eSAT Journals
 
C010321217
C010321217C010321217
C010321217
IOSR Journals
 
Power and Delay Analysis of Logic Circuits Using Reversible Gates
Power and Delay Analysis of Logic Circuits Using Reversible GatesPower and Delay Analysis of Logic Circuits Using Reversible Gates
Power and Delay Analysis of Logic Circuits Using Reversible Gates
RSIS International
 
1
11
REDUCED COMPLEXITY QUASI-CYCLIC LDPC ENCODER FOR IEEE 802.11N
REDUCED COMPLEXITY QUASI-CYCLIC LDPC ENCODER FOR IEEE 802.11N REDUCED COMPLEXITY QUASI-CYCLIC LDPC ENCODER FOR IEEE 802.11N
REDUCED COMPLEXITY QUASI-CYCLIC LDPC ENCODER FOR IEEE 802.11N
VLSICS Design
 
Performance Analysis of Steepest Descent Decoding Algorithm for LDPC Codes
Performance Analysis of Steepest Descent Decoding Algorithm for LDPC CodesPerformance Analysis of Steepest Descent Decoding Algorithm for LDPC Codes
Performance Analysis of Steepest Descent Decoding Algorithm for LDPC Codes
idescitation
 
Performance Study of RS (255, 239) and RS (255.233) Used Respectively in DVB-...
Performance Study of RS (255, 239) and RS (255.233) Used Respectively in DVB-...Performance Study of RS (255, 239) and RS (255.233) Used Respectively in DVB-...
Performance Study of RS (255, 239) and RS (255.233) Used Respectively in DVB-...
IJERA Editor
 
Introduction to Network Coding
Introduction to Network CodingIntroduction to Network Coding
Question bank of module iv packet switching networks
Question bank of module iv packet switching networksQuestion bank of module iv packet switching networks
Question bank of module iv packet switching networks
Engineering
 
Implementation and Comparison of Efficient 16-Bit SQRT CSLA Using Parity Pres...
Implementation and Comparison of Efficient 16-Bit SQRT CSLA Using Parity Pres...Implementation and Comparison of Efficient 16-Bit SQRT CSLA Using Parity Pres...
Implementation and Comparison of Efficient 16-Bit SQRT CSLA Using Parity Pres...
IJERA Editor
 
MODIFIED GOLDEN CODES FOR IMPROVED ERROR RATES THROUGH LOW COMPLEX SPHERE DEC...
MODIFIED GOLDEN CODES FOR IMPROVED ERROR RATES THROUGH LOW COMPLEX SPHERE DEC...MODIFIED GOLDEN CODES FOR IMPROVED ERROR RATES THROUGH LOW COMPLEX SPHERE DEC...
MODIFIED GOLDEN CODES FOR IMPROVED ERROR RATES THROUGH LOW COMPLEX SPHERE DEC...
cscpconf
 

What's hot (19)

Efficient Design of Reversible Sequential Circuit
Efficient Design of Reversible Sequential CircuitEfficient Design of Reversible Sequential Circuit
Efficient Design of Reversible Sequential Circuit
 
Reduced Complexity Maximum Likelihood Decoding Algorithm for LDPC Code Correc...
Reduced Complexity Maximum Likelihood Decoding Algorithm for LDPC Code Correc...Reduced Complexity Maximum Likelihood Decoding Algorithm for LDPC Code Correc...
Reduced Complexity Maximum Likelihood Decoding Algorithm for LDPC Code Correc...
 
AN EFFICIENT CNTFET-BASED 7-INPUT MINORITY GATE
AN EFFICIENT CNTFET-BASED 7-INPUT MINORITY GATEAN EFFICIENT CNTFET-BASED 7-INPUT MINORITY GATE
AN EFFICIENT CNTFET-BASED 7-INPUT MINORITY GATE
 
Reduced Energy Min-Max Decoding Algorithm for Ldpc Code with Adder Correction...
Reduced Energy Min-Max Decoding Algorithm for Ldpc Code with Adder Correction...Reduced Energy Min-Max Decoding Algorithm for Ldpc Code with Adder Correction...
Reduced Energy Min-Max Decoding Algorithm for Ldpc Code with Adder Correction...
 
Network Coding
Network CodingNetwork Coding
Network Coding
 
DETECTION AND ELIMINATION OF NON-TRIVIAL REVERSIBLE IDENTITIES
DETECTION AND ELIMINATION OF NON-TRIVIAL REVERSIBLE IDENTITIESDETECTION AND ELIMINATION OF NON-TRIVIAL REVERSIBLE IDENTITIES
DETECTION AND ELIMINATION OF NON-TRIVIAL REVERSIBLE IDENTITIES
 
A short introduction to Network coding
A short introduction to Network codingA short introduction to Network coding
A short introduction to Network coding
 
Low complexity design of non binary ldpc decoder using extended min-sum algor...
Low complexity design of non binary ldpc decoder using extended min-sum algor...Low complexity design of non binary ldpc decoder using extended min-sum algor...
Low complexity design of non binary ldpc decoder using extended min-sum algor...
 
C010321217
C010321217C010321217
C010321217
 
Power and Delay Analysis of Logic Circuits Using Reversible Gates
Power and Delay Analysis of Logic Circuits Using Reversible GatesPower and Delay Analysis of Logic Circuits Using Reversible Gates
Power and Delay Analysis of Logic Circuits Using Reversible Gates
 
1
11
1
 
REDUCED COMPLEXITY QUASI-CYCLIC LDPC ENCODER FOR IEEE 802.11N
REDUCED COMPLEXITY QUASI-CYCLIC LDPC ENCODER FOR IEEE 802.11N REDUCED COMPLEXITY QUASI-CYCLIC LDPC ENCODER FOR IEEE 802.11N
REDUCED COMPLEXITY QUASI-CYCLIC LDPC ENCODER FOR IEEE 802.11N
 
Performance Analysis of Steepest Descent Decoding Algorithm for LDPC Codes
Performance Analysis of Steepest Descent Decoding Algorithm for LDPC CodesPerformance Analysis of Steepest Descent Decoding Algorithm for LDPC Codes
Performance Analysis of Steepest Descent Decoding Algorithm for LDPC Codes
 
Performance Study of RS (255, 239) and RS (255.233) Used Respectively in DVB-...
Performance Study of RS (255, 239) and RS (255.233) Used Respectively in DVB-...Performance Study of RS (255, 239) and RS (255.233) Used Respectively in DVB-...
Performance Study of RS (255, 239) and RS (255.233) Used Respectively in DVB-...
 
Introduction to Network Coding
Introduction to Network CodingIntroduction to Network Coding
Introduction to Network Coding
 
Question bank of module iv packet switching networks
Question bank of module iv packet switching networksQuestion bank of module iv packet switching networks
Question bank of module iv packet switching networks
 
Implementation and Comparison of Efficient 16-Bit SQRT CSLA Using Parity Pres...
Implementation and Comparison of Efficient 16-Bit SQRT CSLA Using Parity Pres...Implementation and Comparison of Efficient 16-Bit SQRT CSLA Using Parity Pres...
Implementation and Comparison of Efficient 16-Bit SQRT CSLA Using Parity Pres...
 
1570285065
15702850651570285065
1570285065
 
MODIFIED GOLDEN CODES FOR IMPROVED ERROR RATES THROUGH LOW COMPLEX SPHERE DEC...
MODIFIED GOLDEN CODES FOR IMPROVED ERROR RATES THROUGH LOW COMPLEX SPHERE DEC...MODIFIED GOLDEN CODES FOR IMPROVED ERROR RATES THROUGH LOW COMPLEX SPHERE DEC...
MODIFIED GOLDEN CODES FOR IMPROVED ERROR RATES THROUGH LOW COMPLEX SPHERE DEC...
 

Similar to Design of Reversible Sequential Circuit Using Reversible Logic Synthesis

Design of Reversible Sequential Circuit Using Reversible Logic Synthesis
Design of Reversible Sequential Circuit Using Reversible Logic SynthesisDesign of Reversible Sequential Circuit Using Reversible Logic Synthesis
Design of Reversible Sequential Circuit Using Reversible Logic Synthesis
VLSICS Design
 
Low Power Reversible Parallel Binary Adder/Subtractor
Low Power Reversible Parallel Binary Adder/SubtractorLow Power Reversible Parallel Binary Adder/Subtractor
Low Power Reversible Parallel Binary Adder/Subtractor
VLSICS Design
 
A review on reversible logic gates and their implementation
A review on reversible logic gates and their implementationA review on reversible logic gates and their implementation
A review on reversible logic gates and their implementation
Debraj Maji
 
Low Power Reversible Parallel Binary Adder/Subtractor
Low Power Reversible Parallel Binary Adder/SubtractorLow Power Reversible Parallel Binary Adder/Subtractor
Low Power Reversible Parallel Binary Adder/Subtractor
VLSICS Design
 
QUANTUM COMPUTING FOR VLSI : VERILOG IMPLEMENTATION OF REVERSIBLE LOGIC GATES
QUANTUM COMPUTING FOR VLSI : VERILOG IMPLEMENTATION OF REVERSIBLE LOGIC GATESQUANTUM COMPUTING FOR VLSI : VERILOG IMPLEMENTATION OF REVERSIBLE LOGIC GATES
QUANTUM COMPUTING FOR VLSI : VERILOG IMPLEMENTATION OF REVERSIBLE LOGIC GATES
DrKavitaKhare
 
Design of 4:16 decoder using reversible logic gates
Design of 4:16 decoder using reversible logic gatesDesign of 4:16 decoder using reversible logic gates
Design of 4:16 decoder using reversible logic gates
IJERA Editor
 
Paper id 27201430
Paper id 27201430Paper id 27201430
Paper id 27201430
IJRAT
 
SCOPE OF REVERSIBLE ENGINEERING AT GATE-LEVEL: FAULT-TOLERANT COMBINATIONAL A...
SCOPE OF REVERSIBLE ENGINEERING AT GATE-LEVEL: FAULT-TOLERANT COMBINATIONAL A...SCOPE OF REVERSIBLE ENGINEERING AT GATE-LEVEL: FAULT-TOLERANT COMBINATIONAL A...
SCOPE OF REVERSIBLE ENGINEERING AT GATE-LEVEL: FAULT-TOLERANT COMBINATIONAL A...
VLSICS Design
 
Low cost reversible signed comparator
Low cost reversible signed comparatorLow cost reversible signed comparator
Low cost reversible signed comparator
VLSICS Design
 
OPTIMIZED MULTIPLIER USING REVERSIBLE MULTICONTROL INPUT TOFFOLI GATES
OPTIMIZED MULTIPLIER USING REVERSIBLE MULTICONTROL INPUT TOFFOLI GATESOPTIMIZED MULTIPLIER USING REVERSIBLE MULTICONTROL INPUT TOFFOLI GATES
OPTIMIZED MULTIPLIER USING REVERSIBLE MULTICONTROL INPUT TOFFOLI GATES
VLSICS Design
 
EVOLUTION OF STRUCTURE OF SOME BINARY GROUP-BASED N-BIT COMPARATOR, N-TO-2N D...
EVOLUTION OF STRUCTURE OF SOME BINARY GROUP-BASED N-BIT COMPARATOR, N-TO-2N D...EVOLUTION OF STRUCTURE OF SOME BINARY GROUP-BASED N-BIT COMPARATOR, N-TO-2N D...
EVOLUTION OF STRUCTURE OF SOME BINARY GROUP-BASED N-BIT COMPARATOR, N-TO-2N D...
VLSICS Design
 
C046051216
C046051216C046051216
C046051216
IJERA Editor
 
Optimized study of one bit comparator using reversible logic gates
Optimized study of one bit comparator using reversible logic gatesOptimized study of one bit comparator using reversible logic gates
Optimized study of one bit comparator using reversible logic gates
eSAT Journals
 
Feasible methodology for
Feasible methodology forFeasible methodology for
Feasible methodology for
VLSICS Design
 
IRJET- Design and Implementation of Combinational Circuits using Reversible G...
IRJET- Design and Implementation of Combinational Circuits using Reversible G...IRJET- Design and Implementation of Combinational Circuits using Reversible G...
IRJET- Design and Implementation of Combinational Circuits using Reversible G...
IRJET Journal
 
IRJET- Design and Implementation of Combinational Circuits using Reversib...
IRJET-  	  Design and Implementation of Combinational Circuits using Reversib...IRJET-  	  Design and Implementation of Combinational Circuits using Reversib...
IRJET- Design and Implementation of Combinational Circuits using Reversib...
IRJET Journal
 
International Journal of Engineering and Science Invention (IJESI)
International Journal of Engineering and Science Invention (IJESI) International Journal of Engineering and Science Invention (IJESI)
International Journal of Engineering and Science Invention (IJESI)
inventionjournals
 
Optimized study of one bit comparator using reversible
Optimized study of one bit comparator using reversibleOptimized study of one bit comparator using reversible
Optimized study of one bit comparator using reversible
eSAT Publishing House
 
A low power adder using reversible logic gates
A low power adder using reversible logic gatesA low power adder using reversible logic gates
A low power adder using reversible logic gates
eSAT Journals
 
A low power adder using reversible logic gates
A low power adder using reversible logic gatesA low power adder using reversible logic gates
A low power adder using reversible logic gates
eSAT Publishing House
 

Similar to Design of Reversible Sequential Circuit Using Reversible Logic Synthesis (20)

Design of Reversible Sequential Circuit Using Reversible Logic Synthesis
Design of Reversible Sequential Circuit Using Reversible Logic SynthesisDesign of Reversible Sequential Circuit Using Reversible Logic Synthesis
Design of Reversible Sequential Circuit Using Reversible Logic Synthesis
 
Low Power Reversible Parallel Binary Adder/Subtractor
Low Power Reversible Parallel Binary Adder/SubtractorLow Power Reversible Parallel Binary Adder/Subtractor
Low Power Reversible Parallel Binary Adder/Subtractor
 
A review on reversible logic gates and their implementation
A review on reversible logic gates and their implementationA review on reversible logic gates and their implementation
A review on reversible logic gates and their implementation
 
Low Power Reversible Parallel Binary Adder/Subtractor
Low Power Reversible Parallel Binary Adder/SubtractorLow Power Reversible Parallel Binary Adder/Subtractor
Low Power Reversible Parallel Binary Adder/Subtractor
 
QUANTUM COMPUTING FOR VLSI : VERILOG IMPLEMENTATION OF REVERSIBLE LOGIC GATES
QUANTUM COMPUTING FOR VLSI : VERILOG IMPLEMENTATION OF REVERSIBLE LOGIC GATESQUANTUM COMPUTING FOR VLSI : VERILOG IMPLEMENTATION OF REVERSIBLE LOGIC GATES
QUANTUM COMPUTING FOR VLSI : VERILOG IMPLEMENTATION OF REVERSIBLE LOGIC GATES
 
Design of 4:16 decoder using reversible logic gates
Design of 4:16 decoder using reversible logic gatesDesign of 4:16 decoder using reversible logic gates
Design of 4:16 decoder using reversible logic gates
 
Paper id 27201430
Paper id 27201430Paper id 27201430
Paper id 27201430
 
SCOPE OF REVERSIBLE ENGINEERING AT GATE-LEVEL: FAULT-TOLERANT COMBINATIONAL A...
SCOPE OF REVERSIBLE ENGINEERING AT GATE-LEVEL: FAULT-TOLERANT COMBINATIONAL A...SCOPE OF REVERSIBLE ENGINEERING AT GATE-LEVEL: FAULT-TOLERANT COMBINATIONAL A...
SCOPE OF REVERSIBLE ENGINEERING AT GATE-LEVEL: FAULT-TOLERANT COMBINATIONAL A...
 
Low cost reversible signed comparator
Low cost reversible signed comparatorLow cost reversible signed comparator
Low cost reversible signed comparator
 
OPTIMIZED MULTIPLIER USING REVERSIBLE MULTICONTROL INPUT TOFFOLI GATES
OPTIMIZED MULTIPLIER USING REVERSIBLE MULTICONTROL INPUT TOFFOLI GATESOPTIMIZED MULTIPLIER USING REVERSIBLE MULTICONTROL INPUT TOFFOLI GATES
OPTIMIZED MULTIPLIER USING REVERSIBLE MULTICONTROL INPUT TOFFOLI GATES
 
EVOLUTION OF STRUCTURE OF SOME BINARY GROUP-BASED N-BIT COMPARATOR, N-TO-2N D...
EVOLUTION OF STRUCTURE OF SOME BINARY GROUP-BASED N-BIT COMPARATOR, N-TO-2N D...EVOLUTION OF STRUCTURE OF SOME BINARY GROUP-BASED N-BIT COMPARATOR, N-TO-2N D...
EVOLUTION OF STRUCTURE OF SOME BINARY GROUP-BASED N-BIT COMPARATOR, N-TO-2N D...
 
C046051216
C046051216C046051216
C046051216
 
Optimized study of one bit comparator using reversible logic gates
Optimized study of one bit comparator using reversible logic gatesOptimized study of one bit comparator using reversible logic gates
Optimized study of one bit comparator using reversible logic gates
 
Feasible methodology for
Feasible methodology forFeasible methodology for
Feasible methodology for
 
IRJET- Design and Implementation of Combinational Circuits using Reversible G...
IRJET- Design and Implementation of Combinational Circuits using Reversible G...IRJET- Design and Implementation of Combinational Circuits using Reversible G...
IRJET- Design and Implementation of Combinational Circuits using Reversible G...
 
IRJET- Design and Implementation of Combinational Circuits using Reversib...
IRJET-  	  Design and Implementation of Combinational Circuits using Reversib...IRJET-  	  Design and Implementation of Combinational Circuits using Reversib...
IRJET- Design and Implementation of Combinational Circuits using Reversib...
 
International Journal of Engineering and Science Invention (IJESI)
International Journal of Engineering and Science Invention (IJESI) International Journal of Engineering and Science Invention (IJESI)
International Journal of Engineering and Science Invention (IJESI)
 
Optimized study of one bit comparator using reversible
Optimized study of one bit comparator using reversibleOptimized study of one bit comparator using reversible
Optimized study of one bit comparator using reversible
 
A low power adder using reversible logic gates
A low power adder using reversible logic gatesA low power adder using reversible logic gates
A low power adder using reversible logic gates
 
A low power adder using reversible logic gates
A low power adder using reversible logic gatesA low power adder using reversible logic gates
A low power adder using reversible logic gates
 

Recently uploaded

Student information management system project report ii.pdf
Student information management system project report ii.pdfStudent information management system project report ii.pdf
Student information management system project report ii.pdf
Kamal Acharya
 
Cosmetic shop management system project report.pdf
Cosmetic shop management system project report.pdfCosmetic shop management system project report.pdf
Cosmetic shop management system project report.pdf
Kamal Acharya
 
Final project report on grocery store management system..pdf
Final project report on grocery store management system..pdfFinal project report on grocery store management system..pdf
Final project report on grocery store management system..pdf
Kamal Acharya
 
Automobile Management System Project Report.pdf
Automobile Management System Project Report.pdfAutomobile Management System Project Report.pdf
Automobile Management System Project Report.pdf
Kamal Acharya
 
Planning Of Procurement o different goods and services
Planning Of Procurement o different goods and servicesPlanning Of Procurement o different goods and services
Planning Of Procurement o different goods and services
JoytuBarua2
 
HYDROPOWER - Hydroelectric power generation
HYDROPOWER - Hydroelectric power generationHYDROPOWER - Hydroelectric power generation
HYDROPOWER - Hydroelectric power generation
Robbie Edward Sayers
 
ethical hacking-mobile hacking methods.ppt
ethical hacking-mobile hacking methods.pptethical hacking-mobile hacking methods.ppt
ethical hacking-mobile hacking methods.ppt
Jayaprasanna4
 
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
Amil Baba Dawood bangali
 
AKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdf
AKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdfAKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdf
AKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdf
SamSarthak3
 
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
obonagu
 
ethical hacking in wireless-hacking1.ppt
ethical hacking in wireless-hacking1.pptethical hacking in wireless-hacking1.ppt
ethical hacking in wireless-hacking1.ppt
Jayaprasanna4
 
Forklift Classes Overview by Intella Parts
Forklift Classes Overview by Intella PartsForklift Classes Overview by Intella Parts
Forklift Classes Overview by Intella Parts
Intella Parts
 
Vaccine management system project report documentation..pdf
Vaccine management system project report documentation..pdfVaccine management system project report documentation..pdf
Vaccine management system project report documentation..pdf
Kamal Acharya
 
CME397 Surface Engineering- Professional Elective
CME397 Surface Engineering- Professional ElectiveCME397 Surface Engineering- Professional Elective
CME397 Surface Engineering- Professional Elective
karthi keyan
 
Top 10 Oil and Gas Projects in Saudi Arabia 2024.pdf
Top 10 Oil and Gas Projects in Saudi Arabia 2024.pdfTop 10 Oil and Gas Projects in Saudi Arabia 2024.pdf
Top 10 Oil and Gas Projects in Saudi Arabia 2024.pdf
Teleport Manpower Consultant
 
Courier management system project report.pdf
Courier management system project report.pdfCourier management system project report.pdf
Courier management system project report.pdf
Kamal Acharya
 
power quality voltage fluctuation UNIT - I.pptx
power quality voltage fluctuation UNIT - I.pptxpower quality voltage fluctuation UNIT - I.pptx
power quality voltage fluctuation UNIT - I.pptx
ViniHema
 
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
bakpo1
 
Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...
Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...
Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...
AJAYKUMARPUND1
 
Gen AI Study Jams _ For the GDSC Leads in India.pdf
Gen AI Study Jams _ For the GDSC Leads in India.pdfGen AI Study Jams _ For the GDSC Leads in India.pdf
Gen AI Study Jams _ For the GDSC Leads in India.pdf
gdsczhcet
 

Recently uploaded (20)

Student information management system project report ii.pdf
Student information management system project report ii.pdfStudent information management system project report ii.pdf
Student information management system project report ii.pdf
 
Cosmetic shop management system project report.pdf
Cosmetic shop management system project report.pdfCosmetic shop management system project report.pdf
Cosmetic shop management system project report.pdf
 
Final project report on grocery store management system..pdf
Final project report on grocery store management system..pdfFinal project report on grocery store management system..pdf
Final project report on grocery store management system..pdf
 
Automobile Management System Project Report.pdf
Automobile Management System Project Report.pdfAutomobile Management System Project Report.pdf
Automobile Management System Project Report.pdf
 
Planning Of Procurement o different goods and services
Planning Of Procurement o different goods and servicesPlanning Of Procurement o different goods and services
Planning Of Procurement o different goods and services
 
HYDROPOWER - Hydroelectric power generation
HYDROPOWER - Hydroelectric power generationHYDROPOWER - Hydroelectric power generation
HYDROPOWER - Hydroelectric power generation
 
ethical hacking-mobile hacking methods.ppt
ethical hacking-mobile hacking methods.pptethical hacking-mobile hacking methods.ppt
ethical hacking-mobile hacking methods.ppt
 
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
 
AKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdf
AKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdfAKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdf
AKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdf
 
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
 
ethical hacking in wireless-hacking1.ppt
ethical hacking in wireless-hacking1.pptethical hacking in wireless-hacking1.ppt
ethical hacking in wireless-hacking1.ppt
 
Forklift Classes Overview by Intella Parts
Forklift Classes Overview by Intella PartsForklift Classes Overview by Intella Parts
Forklift Classes Overview by Intella Parts
 
Vaccine management system project report documentation..pdf
Vaccine management system project report documentation..pdfVaccine management system project report documentation..pdf
Vaccine management system project report documentation..pdf
 
CME397 Surface Engineering- Professional Elective
CME397 Surface Engineering- Professional ElectiveCME397 Surface Engineering- Professional Elective
CME397 Surface Engineering- Professional Elective
 
Top 10 Oil and Gas Projects in Saudi Arabia 2024.pdf
Top 10 Oil and Gas Projects in Saudi Arabia 2024.pdfTop 10 Oil and Gas Projects in Saudi Arabia 2024.pdf
Top 10 Oil and Gas Projects in Saudi Arabia 2024.pdf
 
Courier management system project report.pdf
Courier management system project report.pdfCourier management system project report.pdf
Courier management system project report.pdf
 
power quality voltage fluctuation UNIT - I.pptx
power quality voltage fluctuation UNIT - I.pptxpower quality voltage fluctuation UNIT - I.pptx
power quality voltage fluctuation UNIT - I.pptx
 
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
 
Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...
Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...
Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...
 
Gen AI Study Jams _ For the GDSC Leads in India.pdf
Gen AI Study Jams _ For the GDSC Leads in India.pdfGen AI Study Jams _ For the GDSC Leads in India.pdf
Gen AI Study Jams _ For the GDSC Leads in India.pdf
 

Design of Reversible Sequential Circuit Using Reversible Logic Synthesis

  • 1. International Journal of VLSI design & Communication Systems (VLSICS) Vol.2, No.4, December 2011 DOI : 10.5121/vlsic.2011.2404 37 Design of Reversible Sequential Circuit Using Reversible Logic Synthesis Md. Belayet Ali 1 , Md. Mosharof Hossin1 and Md. Eneyat Ullah1 1 Department of Computer Science and Engineering Mawlana Bhashani Science and Technology University, Santosh, Tangail-1902, Bangladesh belayet.mbstu@gmail.com, mosharof_cse@yahoo.com, eneyat_mbstu@yahoo.com Abstract Reversible logic is one of the most vital issue at present time and it has different areas for its application, those are low power CMOS, quantum computing, nanotechnology, cryptography, optical computing, DNA computing, digital signal processing (DSP), quantum dot cellular automata, communication, computer graphics. It is not possible to realize quantum computing without implementation of reversible logic. The main purposes of designing reversible logic are to decrease quantum cost, depth of the circuits and the number of garbage outputs. In this paper, we have proposed a new reversible gate. And we have designed RS flip flop and D flip flop by using our proposed gate and Peres gate. The proposed designs are better than the existing proposed ones in terms of number of reversible gates and garbage outputs. So, this realization is more efficient and less costly than other realizations. Keywords Reversible logic, Reversible gate, Power dissipation, Flip-Flop, Garbage, BME gate. 1. Introduction Energy dissipation is an important consideration in VLSI design. Reversible logic was first related to energy when Landauer states that information loss due to function irreversibility leads to energy dissipation[1].This principle is further supported by Bennett that zero energy dissipation can be achieved only when the circuit contains reversible gates [2].Information is lost when the input vector cannot be uniquely recovered from its output vectors. Reversible logic circuits naturally take care of heating since in a reversible logic every input vector can be uniquely recovered from its output vectors and therefore no information is lost. According to [2] zero energy dissipation would be possible only if the network consists of reversible gates. Thus reversibility will become an essential property in future circuit design. Reversible circuits are also interesting because the loss of bits of information implies energy loss [2]. Younis and Knight [3] showed that some reversible circuits can be made asymptotically energy-lossless if their delay is allowed to be arbitrarily large. However, reversible logic is suffering from two problems. Firstly, there is a lack of technologies with which to build reversible gates. Work is certainly continuing in this area. Secondly, while there is much research into how to design combinational circuits
  • 2. International Journal of VLSI design & Communication Systems (VLSICS) Vol.2, No.4, December 2011 38 using reversible logic, there is little in the area of sequential reversible logic implementations. There is no limitation inherent to reversible logic preventing the design of sequential circuits; in fact when Tommaso Toffoli first characterized reversible logic in his 1980 work Reversible Computing [4] he stated that “Using invertible logic gates, it is ideally possible to build a sequential computer with zero internal power dissipation. ''To establish the relevance of reversible and quantum computing it seems appropriate to note that the VLSI industry is moving at high speed towards miniaturization. With miniaturization it faces two issues: i) A considerable amount of energy gets dissipated in VLSI circuits and ii) the size of the transistors are approaching the quantum limits where tunneling and other quantum phenomena are likely to appear. Thus, we need a superior technology that can circumvent these problems. This paper presents a new 4*4 reversible logic gate that is BME gate. BME gate is a universal in the sense that it can be used to synthesize any arbitrary Boolean function. It is shown that a RS and D Flip-Flop can be realized using proposed reversible logic gate and Peres gate. The presented design reduces the number of gates and the number of garbage outputs. 2. Reversible Logic Synthesis and Others Definition 2.1 A circuit is reversible if it maps each input vector into a unique output vector and vice versa. Definition 2.2 Reversible Gates are circuits in which number of outputs is equal to the number of inputs and there is a one to one correspondence between the vector of inputs and outputs [5], [10] and [12]. Example 2.1. Let the input vector be Iv, output vector Ov and they are defined as follows, Iv = (Ii, Ii+1, Ii+2 …Ik-1, Ik) and Ov = (Oi, Oi+1, Oi+2 … Ok-1, Ok). For each particular i, there exits the relationship Iv ↔ Ov [10]. A reversible circuit should be designed using minimum number of reversible logic gates. From the point of view of reversible circuit design, there are many parameters for determining the complexity and performance of circuits [6], [7], [8] and [11]. Definition 2.3 Garbage output refers to the number of inputs that are to be maintained constant at either 0 or 1 in order to synthesize the given logical function [12]. Definition 2.4 Quantum cost refers to the cost of the circuit in terms of the cost of a primitive gate. It is calculated knowing the number of primitive reversible logic gates (1*1 or 2*2) required to realize the circuit. The quantum cost of a circuit is the minimum number of 2*2 unitary gates to represent the circuit keeping the output unchanged. The quantum cost of a 1*1 gate is 0 and that of any 2*2 gate is the same, which is 1 [19]. So, the quantum cost of a 2*2 Feynman gate is 1. Again, the quantum cost of a 3*3 Toffoli, Fredkin, Peres and New gate is 5, 5, 4 and 11 respectively [20][21][22].
  • 3. International Journal of VLSI design & Communication Systems (VLSICS) Vol.2, No.4, December 2011 39 Definition 2.5 Flexibility refers to the universality of a reversible logic gate in realizing more functions [12]. Definition 2.6 Gate Level refers to the number of levels in the circuit which are required to realize the given logic functions [12]. Definition 2.7 Hardware Complexity refers to the total number of logic operation in a circuit. Means the total number of AND, OR and EXOR operation in a circuit [9] and [12]. 3. Major Several Reversible Logic 3.1 Feynman Gate It is a 2*2 Feynman gate [13]. The input vector is I (A, B) and the output vector is O(P, Q). The outputs are defined by P=A, Q=A⊕B. Quantum cost of a Feynman gate is 1. Figure 1 shows a 2*2 Feynman gate. Figure 1: Feynman gate 3.2 Double Feynman Gate (F2G) It is a 3*3 Double Feynman gate [14].The input vector is I (A, B, C) and the output vector is O (P, Q, R). The outputs are defined by P = A, Q=A⊕B, R=A⊕C. Quantum cost of double Feynman gate is 2. Figure 2 shows a 3*3 Double Feynman gate. Figure 2: Double Feynman gate 3.3 Toffoli Gate It is a 3*3 Toffoli gate [6] The input vector is I(A, B, C) and the output vector is O(P,Q,R). The outputs are defined by P=A, Q=B, R=AB⊕C. Quantum cost of a Toffoli gate is 5. Figure 3 shows a 3*3 Toffoli gate. P=A Q=A⊕B R=A⊕C A B C Double Feynman Gate A C B P=A Q=A⊕B R=A⊕C ● ● P=A Q=A⊕B A B Feynman Gate Q=A⊕B P=AA B ●
  • 4. International Journal of VLSI design & Communication Systems (VLSICS) Vol.2, No.4, December 2011 40 Figure 3: Toffoli gate 3.4 Fredkin Gate It is a 3*3 Fredkin gate [7]. The input vector is I (A, B, C) and the output vector is O(P, Q, R). The output is defined by P=A, Q= AഥB⊕AC and R= AഥC⊕AB. Quantum cost of a Fredkin gate is 5. Figure 4 shows a 3*3 Fredkin gate. Figure 4: Fredkin gate 3.5 Peres Gate It is a 3*3 Peres gate [15]. The input vector is I (A, B, C) and the output vector is O (P, Q, R). The output is defined by P = A, Q = A⊕B and R=AB⊕C. Quantum cost of a Peres gate is 4. Figure 5 shows a 3*3 Peres gate. Figure 5: Peres gates 3.6 Double Peres gate It is a 4*4 Double Peres Gate [16]. The input vector is I(A,B,C,D) and the output vector is O(P,Q,R,S).The output is defined by P=A,Q=A⊕B,R=A⊕B⊕D and S=(A⊕B)D⊕AB⊕C. Figure 6 shows a 4*4 Double Peres gate. Figure 6: DPG gate S= (A⊕B) D⊕AB⊕C P=A Q=A⊕B R=A⊕B⊕D A B C D DPG Gate A B C Q=B R=AB⊕C P=A● ● P=A Q=B R=AB⊕C A B C Toffoli Gate P=A Q=AഥB ⊕AC R=AഥC⊕AB A B C Fredkin Gate A B C Q=AഥB⊕AC R=AഥC⊕AB P=A● P=A Q=A⊕B R=AB⊕C A B C Peres Gate R=AB⊕C Q=A⊕B P=AA B C ● ● ●
  • 5. International Journal of VLSI design & Communication Systems (VLSICS) Vol.2, No.4, December 2011 41 4. Related Work 4.1 RS Flip-Flop Designs Thapliyal [18] proposed reversible clocked RS Flip-Flop which is a direct realization of conventional irreversible circuit realized. His design is costly because it incorporated two New gates whose quantum cost is higher than other reversible gates which is mentioned at Section 2 in Definition 2.4. Moreover, the design contained a single fan-out which is forbidden in strict reversible sense [23].Ashis [17] proposed design perform NAND operations using a single 3*3 Peres gate instead of using Fredkin gate, his design less costly reversible SR Flip-Flop using the Peres gate.Since the design of Thapliyal [18] consists of two New gates and the quantum cost of each New gate is 11, so the overall design cost increased. Moreover Ashis [17] realization contained two redundant Feynman gates. But Ashis [17] design is less costly in terms of number of gates, garbage bits and quantum costs than Thapliyal [18] design. 4.2 D Flip-Flop Designs Thapliyal [18] proposed a reversible clocked D Flip-Flop that is also costly because it contained four New gates. Moreover a fanout can be seen in the design. Ashis [17] proposed design of reversible clocked D Flip-Flop employs the proposed SR latch. The clocked D Flip-Flop is efficient to use in designing reversible memory circuits because of its superiority over the existing one [18] in terms of number of gates, garbage bits and quantum cost. 5. Proposed Reversible Logic Gate We have proposed a new 4*4 reversible logic gate named BME gate. The input vector is I(A,B,C,D) and the output vector is O(P,Q,R,S).The output is defined by P=A, Q=AB⊕C, R=AD⊕C and S= AഥB⊕C⊕D. The block diagram of BME gate is shown in Figure 7. Figure 7: Block diagram of a new reversible BME gate Table 1: Truth Table of New Reversible BME Gate A B C D A AB⊕C AD⊕C AഥB⊕C⊕D 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 1 0 0 1 1 1 0 0 1 1 0 1 1 0 0 1 0 0 0 0 0 1 0 1 0 1 0 0 0 0 0 1 1 0 0 1 1 0 0 1 1 1 0 1 1 1 1 0 0 0 1 0 0 0 1 0 0 1 1 0 1 1 1 0 1 0 1 1 1 1 S= AഥB⊕C⊕D P=A Q=AB⊕C R=AD⊕C A B C D BME Gate
  • 6. International Journal of VLSI design & Communication Systems (VLSICS) Vol.2, No.4, December 2011 42 We can verify our BME gate by the truth table that the output and input vectors have one to one mapping between them which satisfies the condition of reversibility of a gate. We can see that from Table 1 that the 16 different input and output vectors are unique means they have one to one mapping between them. So, BME gate satisfies the condition of reversibility. 6. Proposed Design of Reversible RS Flip-Flop The RS Flip-Flop can be mapped with one BME and two Peres gate. The BME gate needs E, S, 1 and R inputs respectively in 1st , 2nd , 3rd and 4th input. The output ‫.ܧ‬ ܵ and ‫.ܧ‬ ܴ are realized by one BME in the 2nd and 3rd outputs. Now, the 2nd output of E. S that is ܵ̅ can be used as 2nd input of one Peres gate. The 3rd output of E. R that is Rഥ can be used as 2nd input of another Peres gate. Thus, our design needs only 3 reversible logic gates with 4 garbage outputs to design of RS Flip- Flip. The proposed design of RS Flip-Flip is shown in Figure 8. Figure 8: Proposed RS Flip-Flop 6.1. Evaluation of Proposed RS Flip-Flop Table 2: Comparison of Different RS Flip-Flop No of gates No of garbage outputs Proposed design 3 4 Existing design [17] 5 6 Existing design [18] 6 8 From Table 2 we can see that the design is better than the design [17] and [18].Here, we need only 3 gates and 4 garbage outputs. So, the design is optimized than the design of [17] and [18] in terms of no of gates and garbage outputs. 1 0 1 1 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 1 1 1 1 1 1 1 1 0 1 0 1 1 1 1 1 1 1 0 0 0 Q Qഥ 1 Rഥ Sത Sത 1 Peres Gate Peres Gate 1 R E S BME Gate
  • 7. International Journal of VLSI design & Communication Systems (VLSICS) Vol.2, No.4, December 2011 43 7. Proposed Design of Reversible D Flip-Flop Using Proposed RS Flip- Flop The D Flip-Flop can be mapped with one BME and two Peres gate. The BME gate needs D, CLK, 1 and 0 inputs respectively in 1st , 2nd , 3rd and 4th input. The output D. CLK and Dഥ. CLKതതതതതതതതത are realized by one BME in the 2nd and 4th outputs. Now, the 2nd output D. CLK that is ܵ̅ can be used as 2nd input of one Peres gate. The 4th output Dഥ. CLKതതതതതതതതതthat is Rഥ can be used as 2nd input of another Peres gate. Thus, our design needs only 3 reversible logic gates with 4 garbage outputs to design of RS Flip-Flip. The proposed design of D Flip-Flip is shown in Figure 9. Figure 9: Proposed reversible clocked D Flip-Flop using Proposed RS Flip-Flop 7.1. Evaluation of Proposed design of D Flip-Flop using Proposed RS Flip-Flop Table 3: Comparison of Different D Flip-Flop No of gates No of garbage outputs Proposed design 3 4 Existing design [17] 5 5 Existing design [18] 7 8 From Table 3 we can see that the design is better than the design [17] and [18].Here, we need only 3 gates and 4 garbage output. So, the design is optimized than the design of [17] and [18] in terms of no of gates and garbage outputs. 8. Conclusions The proposed reversible design is utilized for efficiently designing RS and D Flip-Flop. As, Flip- Flips are most important memory elements and used in several circuits like RAM, Logic Blocks of FPGA. We have seen by comparing the existing design with our proposed design that the proposed design is less costly in terms of number of gates and number of garbage outputs. The proposed design is highly optimized. So, this proposed gate can contribute significantly in the reversible logic community. Thus, the resulting reversible sequential circuits are more cost competent. Q Qഥ 1 Peres Gate Rഥ Sത Sത 1 1 0 D E BME Gate Peres Gate
  • 8. International Journal of VLSI design & Communication Systems (VLSICS) Vol.2, No.4, December 2011 44 References [1] R. Landauer, “Irreversibility and heat generation in the computing process,” IBM J. Research & Development, vol. 5, no. 3, pp. 183–191,July 1961. [2] C. Bennett, “Logical reversibility of computation,” IBM J. Research & Development, vol. 17, no. 6, pp. 525–532, Nov. 1973. [3] S. Younis and T. Knight, “Asymptotically Zero Energy Split-Level Charge Recovery Logic,” Workshop on Low Power Design, 1994. [4] T. Toffoli, Automata, Languages and Programming. Springer Verlag, 1980, chapter: Reversible Computing, pp. 632–644. [5] Babu HMH, Islam MR, Chowdhury AR, Chowdhury SMA. “Synthesis of full-adder circuit using reversible logic”, 17th International Conference on VLSI Design 2004, 757-60. [6] T. Toffoli., “Reversible Computing”, Tech memo MIT/LCS/TM-151, MIT Lab for Computer Science (1980). [7] E. Fredkin and T. Toffoli, “Conservative logic,” Int’l J. Theoretical Physics, Vol. 21, pp.219 253, 1982. [8] H.R.Bhagyalakshmi and M.K.Venkatesha, “Optimized reversible BCD adder using new reversible logic gates”, Journal of Computing, Volume 2, Issue 2, February 2010, ISSN 2151-9617 arXiv:1002.3994v1. [9] M. Haghparast,K. Navi, ”A Novel Reversible BCD Adder For Nanotechnology Based Systems”, American Journal of Applied Sciences 5 (3): 282‐288, 2008,ISSN 1546‐9239. [10] Ashis Kumer Biswas, Md. Mahmudul Hasan, Moshaddek Hasan, Ahsan Raja Chowdhury and Hafiz Md. Hasan Babu. “A Novel Approach to Design BCD Adder and Carry Skip BCD Adder”. 21st International Conference on VLSI Design, 1063-9667/08 $25.00 © 2008 IEEE DOI 10.1109/VLSI.2008.37. [11] H.R.Bhagyalakshmi, M.K.venkatesha. “An improved design of a multiplier using reversible logic gates”. International Journal of Engineering Science and Technology, Vol. 2(8), 2010, 3838-3845. [12] Abu Sadat Md. Sayem, Masashi Ueda.” Optimization of reversible sequential circuits”. Journal of Computing, Volume 2, Issue 6, June 2010, ISSN 2151-9617. [13] R. Feynman, “Quantum Mechanical Computers,” Optics News, Vol.11, pp. 11–20, 1985. [14] B. Parhami, “Fault Tolerant Reversible Circuits” Proc. 40th Asilomar Conf. Signals, Systems, and Computers, Pacific Grove, CA, Oct.2006. [15] A. Peres, “Reversible Logic and Quantum Computers”, Physical review A, 32:3266- 3276, 1985. [16] W. N. N. Hung, X. Song, G. Yang, J. Yang and M. Perkowski, “Quantum Logic Synthesis by Symbolic Reachability Analysis”, Proc. 41stannual conference on Design automation DAC, pp.838- 841, January 2004. [17] Ashis Kumer Biswas, Lafifa Jamal, M. A. Mottalib1, Hafiz Md. Hasan Babu.” Design of a Reversible Parallel Loading Shift Register ”.Dhaka Univ. J.Eng & Tech. Vol 1(2) 1-5,2011. [18] Himanshu Thapliyal, M.B.Srinivas, and M.Zwolinski, "A Beginning in the Reversible Logic Synthesis of Sequential Circuits “,MAPLD Conference (NASA office of Logic Design ), vol.8 2005.
  • 9. International Journal of VLSI design & Communication Systems (VLSICS) Vol.2, No.4, December 2011 45 [19] J.Smoline and David P.DiVincenzo, “Five two-qubit gates are sufficient to implement the quantum fredkin gate”, Physics Review A, vol. 53, no.4, pp. 2855-2856, 1996. [20] Yang G., Song X, Hung WNN, and Marek Perkowski, “Bi-direction Synthesis for Reversible circuits”, IEEE Computer Society Annua; Symposium on VLSI New Frontiers in VLSI Design, 2007. [21] D. Maslov and G.W. Dueck, “Reversible cascades with Minimal Garbage”, IEEE Transactions on CAD, vol. 23(11), pp. 1497-1509, November 2004. [22] Richard P.Feynman, “Quantum mechanical computers”, Foundations of Physics, vol.16, no. 6, pp.507-531,1986. [23] D. Maslov, G.W. Dueck, D.M. Miller, “Toffoli Network Synthesis with templates”, IEEE Transactions on Computer Aided Design of Integrated Circuits and Systems, Vol.24, Issue 6, June 2005, pp:807-817 Authors Md. Belayet Ali, received his B.Sc (Engg.) degree in Computer Science and Engineering from Mawlana Bhashani Science and Technology University, Santosh, Tangail-1902, Bangladesh, in 2008. He is working as Lecturer in Department of Computer Science and Engieering at (MBSTU), Santosh, Tangail-1902, Bangladesh. He has 2(two) years experience of working in MBSTU. He has published 04(four) papers in national, international journals. His area of interest includes reversible logic synthesis, multi-valued reversible logic synthesis, network business security, cloud computing.