SlideShare a Scribd company logo
Verification Strategy for PCI-Express
Presenter: Pradip Thaker
July 4th, 2008
2
Outline
PCI-Express Protocol Overview
Verification Paradigm
Design-for-Verification (Well-aligned implementation and
verification architectures)
A key ingredient for a timely verification closure
3
PCI to PCI Express
Limitations of PCI
Not enough bandwidth
32-bit/33 MHz (132 MB/s)
64-bit/66 MHz (528 MB/s)
Shared bus bandwidth
No support for Isochronous applications (TDM or Synchronous Traffic application)
Cost of hardware for parallel busses
Evolution Path
Growing faster is the only possibility (not wider)
Point-to-point communication (Shared bus connectivity impossible above 100/150
MHz)
CDR architecture (Speed limitation of a synchronous bus above few hundred MHz)
Backward compatibility – a must
Fast forward to future – PCI Express (PCIe)
Packet-level data-units over high-speed SERDES based connectivity
Layered architecture – much like networking protocols
Mechanical, Physical, Data-link, Transaction, Software and System Layers
Compatible with existing PCI software infrastructure
Weird wedding of two distinct architectural and business practices – Networking and
Computer
Creation of nightmarish scenario for chip verification (Details on later slides)
4
PCI-Express Protocol Overview - Terminology
Dual Simplex – a related set of two differential pairs (Tx and Rx)
Lane – “Dual Simplex” when PCI-Express compliant
Port – A group of Txs and Rxs within a single device that represent a single connection
to PCI-Express fabric
Link – Two ports and the collection of lanes that interconnect them
x1, x4, x8, xN – Number of lanes within a port or a link
Upstream – Flow of traffic towards the CPU or a port that establishes link in that
direction within the hierarchy
Downstream – Flow of traffic away from the CPU or a port that establishes a link in that
direction within the hierarchy
Ingress Port – the portion of a PCIe port that receives the incoming traffic
Egress Port – the portion of a PCIe port that transmits outgoing traffic
Root Complex – The combination of a PCIe host bridge and one or more downstream
ports
Endpoint – A device that terminates a path within the hierarchy
Bridge – A device that physically and electrically connects PCIe to another protocol
Switch – A device that provides a physical connection between two or more PCIe ports
5
PCI-Express Hierarchy
6
PCI-Express Protocol Overview : Physical
Logical Functions
8B/10B Encoding and Decoding
Scrambling
Reset, initialization, multi-lane de-skew
Lane mapping
Adjustments of bit-transmission order for various throughput options (x1 through x32)
Logical idle behavior and transition to active state as per protocol
TLP and DLLP transmission and reception: Insertion and Processing of Special Symbols per protocol conditions
Link initialization (recovery from link errors, transition from low power states)
Link negotiations
Width
Data-rate
Lane reversal
Polarity inversion
Link synchronization
Bit-wise per lane
Symbol-wise per lane
Lane-to-lane de-skew
Ordered (TS and Skip) set handling and processing
Fast training sequence
Link power management
Delay insertions as per protocol……………………more that could not fit here
Electrical Functions
Link within 600 ppm at all times
Spread spectrum clocking
AC coupling
Interconnect parasitic capacitance adherence
Receiver DC commong mode voltage of 0 V
Transmitter DC common mode established during “Detect”
Receiver Detect under various scenarios
Total jitter
Maximum loss budget
De-emphasis
Maximum BER
Beacon………………………………more that could not fit here
7
PCI-Express Protocol Overview : Data-link Layer
Link management
DL_UP, DL_Down, DL_Inactive, DL_Active, DL_Init state transitions
Slot power limit handling
Propagation of link-reset downstream
Point-to-point reliable data exchange
Error detection, re-try as well as Error Logging and Reporting
Power Management message decoding, state transitions for activation and de-activation
TLP sequence number generation and tracking
LCRC computation and decoding
DLLP integrity encoding and decoding
ACK/NAK generation and processing
ACK time-out notification and handling
Flow control computation, tracking and processing – Credit based flow-control
Data poisoning
Completion Time-out
Re-transmission of packets
Package storage for re-try/replay
DLLP generation, processing and actuation based on current status
ACK DLLP
NAK DLLP
InitiFC1
InitFC2
UpdateFC
Power Management
Vendor specific
Cut-through routing
TLP/DLLP ordering permutations per protocol
TLP integrity check insertion and processing
ACK/NAK latency timer rules processing a limit-triggered response………………….more that could not fit here
8
PCI-Express Protocol Overview : Transaction Layer
Flow control management
TL manages, DL executes
Point-to-point, not end-to-end
Independent for each VC ID
Mechanism presumes “Ideal” conditions
Credit types – PH, PD, NPH, NPD, CPLH, CPLD
Data transactions
TLP storage and processing for transmission or consumption
TLP generation: Header, Payload and Digest
TLP generation and handling of various lengths (4 Bytes to 4096 Bytes)
Transaction types
Memory (32-bit and 64-bite addressing)
I/O
Configuration
Message
INTx
PME
ERR
Unlock
Slot Power
Hot Plug
Vendor-defined
Transaction Completion
Reads and non-posted writes
Completion routing is by ID
Provide completion status
Transaction Ordering
Routing rules
Arbitration
Port arbitration
VC arbitration
Virtual channels
Traffic classes
Locked transactions support
Isochronous support
Advance error processing and reporting………………………….………more that could not fit here
9
PCI-Express Protocol Overview: Summary
Open standard containing over 500 pages
Many more pages of supporting literature
Each line of each page in the standards document is a cryptic
edict dictating a specific behavior for each condition
and not a detailed explanation about behavior or implementation
Much space for protocol detail misinterpretation resulting into
mal-function or non-compliance
Hundreds of configuration bits – each controlling a complex
behavior within the chip with strict adherence to standard dictate
to guarantee backward software compatibility
No wiggle room to claim bug as a feature!!!
10
Verification Paradigm
Chips based on Open-Standard – Pressure Points
Technology/Feature differentiator – Marginal or Non-existing
Commodity product – Power, Performance and Price
Time-to-market – Very Critical
First product – To Establish Credible Presence
Sub-sequent products with various flavors – To Capture Market Share
Bridges: PCI-to-PCIe, SATA-to-PCIe, 1394-to-PCIe, USB-to-PCIe etc.
Switches: 4-port x1 throughput, 4-port x4 throughput, 8-port x4 throughput, etc.
Root Complex: x1 throughput, x4 throughput, etc.
Quality of First Silicon – Critical
Verification Plays A Major Role in Success of Chips based on Open-Standard
Addresses Two Key Aspects: TTM and Quality of Silicon
Verification Execution: Focal Points
Functionality
Performance
Interoperability (Compliance and Compatibility)
Verification Platform Architecture and Methodology: Focal Points
Re-usability
Scalability (Modularity)
Comprehensiveness (with leveraging of automation)
11
Verification Strategy: A Broader Definition
Verification – A vehicle to deliver chips with “Zero Bugs(!)”,
Compliance and Superior performance
Performance Modeling (C/C++/SystemC)
Architecture and Micro-architecture of Key Data and Control Paths
RTL Verification
FPGA-based Emulation
Compliance and Compatibility testing
PCI-SIG certification to be on Integrator’s List
Performance verification
3rd party Compliance Checkers and Vectors
Mixed-signal Simulations
12
Functional Verification: Four Pillars
Coverage-driven constrained-random testing with reference models (HVLs)
Reference Model (RFM)
Temporal Checkers
Protocol Monitors
Sequence Generators
Constraints
Functional Coverage
Test-plan
Assertion-based verification for key building blocks
Detects design errors at the source – increases observability and decreases debug-time
Can identify subtle bugs that may be hard to reach with SBV
Black-box assertions – Protocol oriented
Effective for size/complexity to an extent (memory-size and run-time limitations)
Suitable for block-level deployment rather than end-to-end chip-level stand-alone verification
method
Complex properties are verified through bounded-proof (neither proven nor falsified)
Effective for control-path oriented logic (state space exploration rather than data-path logic)
verification
Assertions when written by engineer other than designer can help detect specification
(interpretation) class of errors
Asynchronous clock-domain simulations
Power-domain simulations – Power Management Compliance Check-list
Improper Buffer Insertion, Missing Level Shifters, Missing Power Good, Power Sequencing Tests
13
Functional Verification: CDV (Re-usability and Scalability)
14
Functional Verification: Golden Rules for RFM
Reference Model shall be independent of the DUT implementation
Reference Model to be created by engineer other than designer of the block
Reference Model created in high-level language and hence it does not have any low-
level mechanics analogous to RTL implementation to realize functionality
Reference Model shall support co-simulation with the DUT in order to predict
and verify run-time behavior
Reference Model for each block shall be created such that it can be integrated
into chip-level verification environment seamlessly
Hybrid Modeling
Control paths: Cycle-accurate modeling
Data paths: Packet-accurate or Data-unit-accurate modeling
Fully cycle-accurate model is maintenance nightmare as well as a cumbersome task
without significant value-add to verification quality
Comprehensiveness (with leveraging of automation)
CDV is only as powerful as comprehensiveness of automated checking features of
reference model and monitors
Can run millions of RTG cycles with comprehensive reference model and monitors
without much manual overhead
15
Performance Verification
Performance Parameters (to be supported with variable sized packets across mixed-traffic
types, across all traffic patterns, mixed VCs and mixed-packet sizes)
Aggregate Throughput
Latency (to be balanced against power dissipation)
Jitter in Latency
Availability/Blocking – Internal back-pressure
N+1 Performance limitation (small TLPs back-to-back)
Flow-control credits
Load distribution and balancing (peer-to-peer as well as vertical traffic flows with
mixed of traffic types, VCs and packet sizes)
Link utilization – No bubbles within or between TLPs (really challenging for cut-
through mode)
Zero tolerance for packet loss
Zero tolerance for wrong packet routing
20% overhead lost in 8B/10B coding
Small TLPs with header as well as DL layer overhead impacting transaction layer efficiency
even with 100% link utilization
Traffic-aware flow-control credit updates (large and small TLPs)
Performance Modeling (C/C++/SystemC)
Architecture and Micro-architecture of Key Data and Control Paths
FPGA-based Emulation
RTL Verification – Not an adequate method for performance testing for PCIe development
16
Compliance Verification
Electrical Compliance Check-list
Signal Quality Analysis
Eye pattern, jitter and BER analysis
Signaling for upstream and downstream
Jitter Analysis DLL
Clock recovery
Interpolation
Transition/non-transition eye points
Data-Link Layer Compliance Check-list
Reserved Fields testing
NAK Response
Replay Timer
Replay Count
Link Retrain
Replay TLP Order
Bad CRC
Undefined Packet
Bad Sequence Number
Duplicate TLP
Transaction Layer Compliance Check-list
Completion request, completion time-out, read-data
Messaging – Legacy interrupts, Native power management, Hot-plug, Error Signaling
Flow Control – Initialization, Transmit and Receive States, Negotiated Link Width
Virtual Channel
System Architecture/Platform-configuration Check-list
Capability registers testing
Default values
Stress test
Slot reporting
Hot plug event reporting
17
Compliance Verification
Separate compliance check-list with some overlap for RC,
Endpoints and Switches
Integrated PHY in the silicon
FPGA platforms with discrete PHY and digital logic
FPGA-based emulation (Native or 3rd Party)
Compliance testing with Agilent PTC and PCI-SIG Golden Suite
Compatibility testing with over 80% of the systems during
PlugFest
PCI-SIG certification to be on Integrator’s List
Native protocol checkers – static and temporal
3rd party Compliance Checkers and Vectors
Synopsys, Denali, nSys and others
18
Design-for-Verification
Cafeteria Architecture: Modular and Scalable
For rapid deployment of various flavors of bridges and switches based on flagship
platform part
Speed of Capturing market-share as critical as first product deployment to establish
credible presence
Modular architecture to enable thorough block-level or sub-system level
simulations
Functional partitioning to reduce scope of chip-level verification effort and
complexity
Push v/s Pull Inter-block Data-threads
Distributed v/s Centralized Control Processing
Standardized block interface
Reduce scope of “Error of Specification” and “Error of Omission”
Promote verification component re-use (BFMs, Sequences, etc.)
Minimum number as well as flavors of physical interconnects between blocks (may
use in-band signaling where applicable)
Emphasis on correct-by-construction practices during design-creation phase
Otherwise TTM Window will be missed due to prolonged verification or multiple re-
spins (PCIe non-forgiving of bugs that hamper compliance or compatibility)
19
Thank You!

More Related Content

What's hot

Pci express technology 3.0
Pci express technology 3.0Pci express technology 3.0
Pci express technology 3.0
Biddika Manjusree
 
PCIe and PCIe driver in WEC7 (Windows Embedded compact 7)
PCIe and PCIe driver in WEC7 (Windows Embedded compact 7)PCIe and PCIe driver in WEC7 (Windows Embedded compact 7)
PCIe and PCIe driver in WEC7 (Windows Embedded compact 7)
gnkeshava
 
Pcie drivers basics
Pcie drivers basicsPcie drivers basics
Pcie drivers basics
Venkatesh Malla
 
IP PCIe
IP PCIeIP PCIe
IP PCIe
SILKAN
 
Ambha axi
Ambha axiAmbha axi
Ambha axi
HARINATH REDDY
 
Amba axi 29 3_2015
Amba axi 29 3_2015Amba axi 29 3_2015
Amba axi 29 3_2015
kiemnhatminh
 
axi protocol
axi protocolaxi protocol
axi protocol
Azad Mishra
 
PCIe Gen 3.0 Presentation @ 4th FPGA Camp
PCIe Gen 3.0 Presentation @ 4th FPGA CampPCIe Gen 3.0 Presentation @ 4th FPGA Camp
PCIe Gen 3.0 Presentation @ 4th FPGA Camp
FPGA Central
 
Creating Your Own PCI Express System Using FPGAs: Embedded World 2010
Creating Your Own PCI Express System Using FPGAs: Embedded World 2010Creating Your Own PCI Express System Using FPGAs: Embedded World 2010
Creating Your Own PCI Express System Using FPGAs: Embedded World 2010Altera Corporation
 
Ral by pushpa
Ral by pushpa Ral by pushpa
Ral by pushpa
Pushpa Yakkala
 
AXI Protocol.pptx
AXI Protocol.pptxAXI Protocol.pptx
AXI Protocol.pptx
Yazan Yousef
 
Axi protocol
Axi protocolAxi protocol
Axi protocol
Azad Mishra
 
Axi protocol
Axi protocolAxi protocol
Axi protocol
Rohit Kumar Pathak
 
AMBA 3 APB Protocol
AMBA 3 APB ProtocolAMBA 3 APB Protocol
AMBA 3 APB Protocol
Swetha GSM
 
Apb
ApbApb
PCIe BUS: A State-of-the-Art-Review
PCIe BUS: A State-of-the-Art-ReviewPCIe BUS: A State-of-the-Art-Review
PCIe BUS: A State-of-the-Art-Review
IOSRJVSP
 
PCI express
PCI expressPCI express
PCI express
sarangaprabod
 
System verilog verification building blocks
System verilog verification building blocksSystem verilog verification building blocks
System verilog verification building blocksNirav Desai
 
AMBA 5 COHERENT HUB INTERFACE.pptx
AMBA 5 COHERENT HUB INTERFACE.pptxAMBA 5 COHERENT HUB INTERFACE.pptx
AMBA 5 COHERENT HUB INTERFACE.pptx
Sairam Chebrolu
 

What's hot (20)

Pci express technology 3.0
Pci express technology 3.0Pci express technology 3.0
Pci express technology 3.0
 
PCIe and PCIe driver in WEC7 (Windows Embedded compact 7)
PCIe and PCIe driver in WEC7 (Windows Embedded compact 7)PCIe and PCIe driver in WEC7 (Windows Embedded compact 7)
PCIe and PCIe driver in WEC7 (Windows Embedded compact 7)
 
Pcie drivers basics
Pcie drivers basicsPcie drivers basics
Pcie drivers basics
 
IP PCIe
IP PCIeIP PCIe
IP PCIe
 
Ambha axi
Ambha axiAmbha axi
Ambha axi
 
Amba axi 29 3_2015
Amba axi 29 3_2015Amba axi 29 3_2015
Amba axi 29 3_2015
 
axi protocol
axi protocolaxi protocol
axi protocol
 
PCIe Gen 3.0 Presentation @ 4th FPGA Camp
PCIe Gen 3.0 Presentation @ 4th FPGA CampPCIe Gen 3.0 Presentation @ 4th FPGA Camp
PCIe Gen 3.0 Presentation @ 4th FPGA Camp
 
Axi
AxiAxi
Axi
 
Creating Your Own PCI Express System Using FPGAs: Embedded World 2010
Creating Your Own PCI Express System Using FPGAs: Embedded World 2010Creating Your Own PCI Express System Using FPGAs: Embedded World 2010
Creating Your Own PCI Express System Using FPGAs: Embedded World 2010
 
Ral by pushpa
Ral by pushpa Ral by pushpa
Ral by pushpa
 
AXI Protocol.pptx
AXI Protocol.pptxAXI Protocol.pptx
AXI Protocol.pptx
 
Axi protocol
Axi protocolAxi protocol
Axi protocol
 
Axi protocol
Axi protocolAxi protocol
Axi protocol
 
AMBA 3 APB Protocol
AMBA 3 APB ProtocolAMBA 3 APB Protocol
AMBA 3 APB Protocol
 
Apb
ApbApb
Apb
 
PCIe BUS: A State-of-the-Art-Review
PCIe BUS: A State-of-the-Art-ReviewPCIe BUS: A State-of-the-Art-Review
PCIe BUS: A State-of-the-Art-Review
 
PCI express
PCI expressPCI express
PCI express
 
System verilog verification building blocks
System verilog verification building blocksSystem verilog verification building blocks
System verilog verification building blocks
 
AMBA 5 COHERENT HUB INTERFACE.pptx
AMBA 5 COHERENT HUB INTERFACE.pptxAMBA 5 COHERENT HUB INTERFACE.pptx
AMBA 5 COHERENT HUB INTERFACE.pptx
 

Viewers also liked

Moving to PCI Express based SSD with NVM Express
Moving to PCI Express based SSD with NVM ExpressMoving to PCI Express based SSD with NVM Express
Moving to PCI Express based SSD with NVM Express
Odinot Stanislas
 
Formation pcie excel partie 1
Formation pcie excel partie 1Formation pcie excel partie 1
Formation pcie excel partie 1OneIDlille
 
Identifying PCIe 3.0 Dynamic Equalization Problems
Identifying PCIe 3.0 Dynamic Equalization ProblemsIdentifying PCIe 3.0 Dynamic Equalization Problems
Identifying PCIe 3.0 Dynamic Equalization Problems
teledynelecroy
 
Pci express
Pci expressPci express
Pci expresspciex16
 
了解IO设备
了解IO设备了解IO设备
了解IO设备
Feng Yu
 
PCI Express* based Storage: Data Center NVM Express* Platform Topologies
PCI Express* based Storage: Data Center NVM Express* Platform TopologiesPCI Express* based Storage: Data Center NVM Express* Platform Topologies
PCI Express* based Storage: Data Center NVM Express* Platform Topologies
Odinot Stanislas
 
Intel ssd dc data center family for PCIe
Intel ssd dc data center family for PCIeIntel ssd dc data center family for PCIe
Intel ssd dc data center family for PCIeLow Hong Chuan
 
Optics basics concepts
Optics basics conceptsOptics basics concepts
Optics basics concepts
Anisur Rahman
 
Formation pcie ppt word partie 3
Formation pcie ppt word partie 3Formation pcie ppt word partie 3
Formation pcie ppt word partie 3OneIDlille
 
Formation pcie ppt word partie 1
Formation pcie ppt word partie 1Formation pcie ppt word partie 1
Formation pcie ppt word partie 1OneIDlille
 
Les systèmes de base de donnée
Les systèmes de base de donnéeLes systèmes de base de donnée
Les systèmes de base de donnée
Quentin Apruzzese
 

Viewers also liked (11)

Moving to PCI Express based SSD with NVM Express
Moving to PCI Express based SSD with NVM ExpressMoving to PCI Express based SSD with NVM Express
Moving to PCI Express based SSD with NVM Express
 
Formation pcie excel partie 1
Formation pcie excel partie 1Formation pcie excel partie 1
Formation pcie excel partie 1
 
Identifying PCIe 3.0 Dynamic Equalization Problems
Identifying PCIe 3.0 Dynamic Equalization ProblemsIdentifying PCIe 3.0 Dynamic Equalization Problems
Identifying PCIe 3.0 Dynamic Equalization Problems
 
Pci express
Pci expressPci express
Pci express
 
了解IO设备
了解IO设备了解IO设备
了解IO设备
 
PCI Express* based Storage: Data Center NVM Express* Platform Topologies
PCI Express* based Storage: Data Center NVM Express* Platform TopologiesPCI Express* based Storage: Data Center NVM Express* Platform Topologies
PCI Express* based Storage: Data Center NVM Express* Platform Topologies
 
Intel ssd dc data center family for PCIe
Intel ssd dc data center family for PCIeIntel ssd dc data center family for PCIe
Intel ssd dc data center family for PCIe
 
Optics basics concepts
Optics basics conceptsOptics basics concepts
Optics basics concepts
 
Formation pcie ppt word partie 3
Formation pcie ppt word partie 3Formation pcie ppt word partie 3
Formation pcie ppt word partie 3
 
Formation pcie ppt word partie 1
Formation pcie ppt word partie 1Formation pcie ppt word partie 1
Formation pcie ppt word partie 1
 
Les systèmes de base de donnée
Les systèmes de base de donnéeLes systèmes de base de donnée
Les systèmes de base de donnée
 

Similar to Verification Strategy for PCI-Express

4th SDN Interest Group Seminar-Session 2-3(130313)
4th SDN Interest Group Seminar-Session 2-3(130313)4th SDN Interest Group Seminar-Session 2-3(130313)
4th SDN Interest Group Seminar-Session 2-3(130313)
NAIM Networks, Inc.
 
Vlsi
VlsiVlsi
Networking revolution
Networking revolutionNetworking revolution
Networking revolution
Nahian Chowdhury
 
ROLE OF DIGITAL SIMULATION IN CONFIGURING NETWORK PARAMETERS
ROLE OF DIGITAL SIMULATION IN CONFIGURING NETWORK PARAMETERSROLE OF DIGITAL SIMULATION IN CONFIGURING NETWORK PARAMETERS
ROLE OF DIGITAL SIMULATION IN CONFIGURING NETWORK PARAMETERS
Deepak Shankar
 
Keys to High Speed Interface Designs Today
Keys to High Speed Interface Designs TodayKeys to High Speed Interface Designs Today
Keys to High Speed Interface Designs Today
Jonah_McLeod
 
Cisco crs1
Cisco crs1Cisco crs1
Cisco crs1wjunjmt
 
Protocol and Integration Challenges for SDN
Protocol and Integration Challenges for SDNProtocol and Integration Challenges for SDN
Protocol and Integration Challenges for SDN
Gerardo Pardo-Castellote
 
Hyper Transport Technology
Hyper Transport TechnologyHyper Transport Technology
Hyper Transport Technology
nayakslideshare
 
Accelerated development in Automotive E/E Systems using VisualSim Architect
Accelerated development in Automotive E/E Systems using VisualSim ArchitectAccelerated development in Automotive E/E Systems using VisualSim Architect
Accelerated development in Automotive E/E Systems using VisualSim Architect
Deepak Shankar
 
6 open capi_meetup_in_japan_final
6 open capi_meetup_in_japan_final6 open capi_meetup_in_japan_final
6 open capi_meetup_in_japan_final
Yutaka Kawai
 
Troubleshooting Storage Devices Using vRealize Operations (formerly vC Ops)
Troubleshooting Storage Devices Using vRealize Operations (formerly vC Ops)Troubleshooting Storage Devices Using vRealize Operations (formerly vC Ops)
Troubleshooting Storage Devices Using vRealize Operations (formerly vC Ops)
Virtualization and Cloud Management Solutions
 
Mirabilis_Design AMD Versal System-Level IP Library
Mirabilis_Design AMD Versal System-Level IP LibraryMirabilis_Design AMD Versal System-Level IP Library
Mirabilis_Design AMD Versal System-Level IP Library
Deepak Shankar
 
Dataplane networking acceleration with OpenDataplane / Максим Уваров (Linaro)
Dataplane networking acceleration with OpenDataplane / Максим Уваров (Linaro)Dataplane networking acceleration with OpenDataplane / Максим Уваров (Linaro)
Dataplane networking acceleration with OpenDataplane / Максим Уваров (Linaro)
Ontico
 
Reconfigurable Coprocessors Synthesis in the MPEG-RVC Domain
Reconfigurable Coprocessors Synthesis in the MPEG-RVC DomainReconfigurable Coprocessors Synthesis in the MPEG-RVC Domain
Reconfigurable Coprocessors Synthesis in the MPEG-RVC Domain
MDC_UNICA
 
System Architecture Exploration Training Class
System Architecture Exploration Training ClassSystem Architecture Exploration Training Class
System Architecture Exploration Training Class
Deepak Shankar
 
ONOS Platform Architecture
ONOS Platform ArchitectureONOS Platform Architecture
ONOS Platform Architecture
OpenDaylight
 
Software Defined Networking: Primer
Software Defined Networking: Primer Software Defined Networking: Primer
Software Defined Networking: Primer
Bangladesh Network Operators Group
 
IRATI: an open source RINA implementation for Linux/OS
IRATI: an open source RINA implementation for Linux/OSIRATI: an open source RINA implementation for Linux/OS
IRATI: an open source RINA implementation for Linux/OS
ICT PRISTINE
 

Similar to Verification Strategy for PCI-Express (20)

Thaker q3 2008
Thaker q3 2008Thaker q3 2008
Thaker q3 2008
 
Thaker q3 2008
Thaker q3 2008Thaker q3 2008
Thaker q3 2008
 
4th SDN Interest Group Seminar-Session 2-3(130313)
4th SDN Interest Group Seminar-Session 2-3(130313)4th SDN Interest Group Seminar-Session 2-3(130313)
4th SDN Interest Group Seminar-Session 2-3(130313)
 
Vlsi
VlsiVlsi
Vlsi
 
Networking revolution
Networking revolutionNetworking revolution
Networking revolution
 
ROLE OF DIGITAL SIMULATION IN CONFIGURING NETWORK PARAMETERS
ROLE OF DIGITAL SIMULATION IN CONFIGURING NETWORK PARAMETERSROLE OF DIGITAL SIMULATION IN CONFIGURING NETWORK PARAMETERS
ROLE OF DIGITAL SIMULATION IN CONFIGURING NETWORK PARAMETERS
 
Keys to High Speed Interface Designs Today
Keys to High Speed Interface Designs TodayKeys to High Speed Interface Designs Today
Keys to High Speed Interface Designs Today
 
Cisco crs1
Cisco crs1Cisco crs1
Cisco crs1
 
Protocol and Integration Challenges for SDN
Protocol and Integration Challenges for SDNProtocol and Integration Challenges for SDN
Protocol and Integration Challenges for SDN
 
Hyper Transport Technology
Hyper Transport TechnologyHyper Transport Technology
Hyper Transport Technology
 
Accelerated development in Automotive E/E Systems using VisualSim Architect
Accelerated development in Automotive E/E Systems using VisualSim ArchitectAccelerated development in Automotive E/E Systems using VisualSim Architect
Accelerated development in Automotive E/E Systems using VisualSim Architect
 
6 open capi_meetup_in_japan_final
6 open capi_meetup_in_japan_final6 open capi_meetup_in_japan_final
6 open capi_meetup_in_japan_final
 
Troubleshooting Storage Devices Using vRealize Operations (formerly vC Ops)
Troubleshooting Storage Devices Using vRealize Operations (formerly vC Ops)Troubleshooting Storage Devices Using vRealize Operations (formerly vC Ops)
Troubleshooting Storage Devices Using vRealize Operations (formerly vC Ops)
 
Mirabilis_Design AMD Versal System-Level IP Library
Mirabilis_Design AMD Versal System-Level IP LibraryMirabilis_Design AMD Versal System-Level IP Library
Mirabilis_Design AMD Versal System-Level IP Library
 
Dataplane networking acceleration with OpenDataplane / Максим Уваров (Linaro)
Dataplane networking acceleration with OpenDataplane / Максим Уваров (Linaro)Dataplane networking acceleration with OpenDataplane / Максим Уваров (Linaro)
Dataplane networking acceleration with OpenDataplane / Максим Уваров (Linaro)
 
Reconfigurable Coprocessors Synthesis in the MPEG-RVC Domain
Reconfigurable Coprocessors Synthesis in the MPEG-RVC DomainReconfigurable Coprocessors Synthesis in the MPEG-RVC Domain
Reconfigurable Coprocessors Synthesis in the MPEG-RVC Domain
 
System Architecture Exploration Training Class
System Architecture Exploration Training ClassSystem Architecture Exploration Training Class
System Architecture Exploration Training Class
 
ONOS Platform Architecture
ONOS Platform ArchitectureONOS Platform Architecture
ONOS Platform Architecture
 
Software Defined Networking: Primer
Software Defined Networking: Primer Software Defined Networking: Primer
Software Defined Networking: Primer
 
IRATI: an open source RINA implementation for Linux/OS
IRATI: an open source RINA implementation for Linux/OSIRATI: an open source RINA implementation for Linux/OS
IRATI: an open source RINA implementation for Linux/OS
 

More from DVClub

IP Reuse Impact on Design Verification Management Across the Enterprise
IP Reuse Impact on Design Verification Management Across the EnterpriseIP Reuse Impact on Design Verification Management Across the Enterprise
IP Reuse Impact on Design Verification Management Across the EnterpriseDVClub
 
Cisco Base Environment Overview
Cisco Base Environment OverviewCisco Base Environment Overview
Cisco Base Environment OverviewDVClub
 
Intel Xeon Pre-Silicon Validation: Introduction and Challenges
Intel Xeon Pre-Silicon Validation: Introduction and ChallengesIntel Xeon Pre-Silicon Validation: Introduction and Challenges
Intel Xeon Pre-Silicon Validation: Introduction and ChallengesDVClub
 
Verification of Graphics ASICs (Part II)
Verification of Graphics ASICs (Part II)Verification of Graphics ASICs (Part II)
Verification of Graphics ASICs (Part II)DVClub
 
Verification of Graphics ASICs (Part I)
Verification of Graphics ASICs (Part I)Verification of Graphics ASICs (Part I)
Verification of Graphics ASICs (Part I)DVClub
 
Stop Writing Assertions! Efficient Verification Methodology
Stop Writing Assertions! Efficient Verification MethodologyStop Writing Assertions! Efficient Verification Methodology
Stop Writing Assertions! Efficient Verification MethodologyDVClub
 
Validating Next Generation CPUs
Validating Next Generation CPUsValidating Next Generation CPUs
Validating Next Generation CPUsDVClub
 
Verification Automation Using IPXACT
Verification Automation Using IPXACTVerification Automation Using IPXACT
Verification Automation Using IPXACTDVClub
 
Validation and Design in a Small Team Environment
Validation and Design in a Small Team EnvironmentValidation and Design in a Small Team Environment
Validation and Design in a Small Team EnvironmentDVClub
 
Trends in Mixed Signal Validation
Trends in Mixed Signal ValidationTrends in Mixed Signal Validation
Trends in Mixed Signal ValidationDVClub
 
Verification In A Global Design Community
Verification In A Global Design CommunityVerification In A Global Design Community
Verification In A Global Design CommunityDVClub
 
Design Verification Using SystemC
Design Verification Using SystemCDesign Verification Using SystemC
Design Verification Using SystemCDVClub
 
SystemVerilog Assertions (SVA) in the Design/Verification Process
SystemVerilog Assertions (SVA) in the Design/Verification ProcessSystemVerilog Assertions (SVA) in the Design/Verification Process
SystemVerilog Assertions (SVA) in the Design/Verification ProcessDVClub
 
Efficiency Through Methodology
Efficiency Through MethodologyEfficiency Through Methodology
Efficiency Through MethodologyDVClub
 
Pre-Si Verification for Post-Si Validation
Pre-Si Verification for Post-Si ValidationPre-Si Verification for Post-Si Validation
Pre-Si Verification for Post-Si ValidationDVClub
 
OpenSPARC T1 Processor
OpenSPARC T1 ProcessorOpenSPARC T1 Processor
OpenSPARC T1 ProcessorDVClub
 
Intel Atom Processor Pre-Silicon Verification Experience
Intel Atom Processor Pre-Silicon Verification ExperienceIntel Atom Processor Pre-Silicon Verification Experience
Intel Atom Processor Pre-Silicon Verification ExperienceDVClub
 
Using Assertions in AMS Verification
Using Assertions in AMS VerificationUsing Assertions in AMS Verification
Using Assertions in AMS VerificationDVClub
 
Low-Power Design and Verification
Low-Power Design and VerificationLow-Power Design and Verification
Low-Power Design and VerificationDVClub
 
UVM Update: Register Package
UVM Update: Register PackageUVM Update: Register Package
UVM Update: Register PackageDVClub
 

More from DVClub (20)

IP Reuse Impact on Design Verification Management Across the Enterprise
IP Reuse Impact on Design Verification Management Across the EnterpriseIP Reuse Impact on Design Verification Management Across the Enterprise
IP Reuse Impact on Design Verification Management Across the Enterprise
 
Cisco Base Environment Overview
Cisco Base Environment OverviewCisco Base Environment Overview
Cisco Base Environment Overview
 
Intel Xeon Pre-Silicon Validation: Introduction and Challenges
Intel Xeon Pre-Silicon Validation: Introduction and ChallengesIntel Xeon Pre-Silicon Validation: Introduction and Challenges
Intel Xeon Pre-Silicon Validation: Introduction and Challenges
 
Verification of Graphics ASICs (Part II)
Verification of Graphics ASICs (Part II)Verification of Graphics ASICs (Part II)
Verification of Graphics ASICs (Part II)
 
Verification of Graphics ASICs (Part I)
Verification of Graphics ASICs (Part I)Verification of Graphics ASICs (Part I)
Verification of Graphics ASICs (Part I)
 
Stop Writing Assertions! Efficient Verification Methodology
Stop Writing Assertions! Efficient Verification MethodologyStop Writing Assertions! Efficient Verification Methodology
Stop Writing Assertions! Efficient Verification Methodology
 
Validating Next Generation CPUs
Validating Next Generation CPUsValidating Next Generation CPUs
Validating Next Generation CPUs
 
Verification Automation Using IPXACT
Verification Automation Using IPXACTVerification Automation Using IPXACT
Verification Automation Using IPXACT
 
Validation and Design in a Small Team Environment
Validation and Design in a Small Team EnvironmentValidation and Design in a Small Team Environment
Validation and Design in a Small Team Environment
 
Trends in Mixed Signal Validation
Trends in Mixed Signal ValidationTrends in Mixed Signal Validation
Trends in Mixed Signal Validation
 
Verification In A Global Design Community
Verification In A Global Design CommunityVerification In A Global Design Community
Verification In A Global Design Community
 
Design Verification Using SystemC
Design Verification Using SystemCDesign Verification Using SystemC
Design Verification Using SystemC
 
SystemVerilog Assertions (SVA) in the Design/Verification Process
SystemVerilog Assertions (SVA) in the Design/Verification ProcessSystemVerilog Assertions (SVA) in the Design/Verification Process
SystemVerilog Assertions (SVA) in the Design/Verification Process
 
Efficiency Through Methodology
Efficiency Through MethodologyEfficiency Through Methodology
Efficiency Through Methodology
 
Pre-Si Verification for Post-Si Validation
Pre-Si Verification for Post-Si ValidationPre-Si Verification for Post-Si Validation
Pre-Si Verification for Post-Si Validation
 
OpenSPARC T1 Processor
OpenSPARC T1 ProcessorOpenSPARC T1 Processor
OpenSPARC T1 Processor
 
Intel Atom Processor Pre-Silicon Verification Experience
Intel Atom Processor Pre-Silicon Verification ExperienceIntel Atom Processor Pre-Silicon Verification Experience
Intel Atom Processor Pre-Silicon Verification Experience
 
Using Assertions in AMS Verification
Using Assertions in AMS VerificationUsing Assertions in AMS Verification
Using Assertions in AMS Verification
 
Low-Power Design and Verification
Low-Power Design and VerificationLow-Power Design and Verification
Low-Power Design and Verification
 
UVM Update: Register Package
UVM Update: Register PackageUVM Update: Register Package
UVM Update: Register Package
 

Recently uploaded

JMeter webinar - integration with InfluxDB and Grafana
JMeter webinar - integration with InfluxDB and GrafanaJMeter webinar - integration with InfluxDB and Grafana
JMeter webinar - integration with InfluxDB and Grafana
RTTS
 
The Future of Platform Engineering
The Future of Platform EngineeringThe Future of Platform Engineering
The Future of Platform Engineering
Jemma Hussein Allen
 
GDG Cloud Southlake #33: Boule & Rebala: Effective AppSec in SDLC using Deplo...
GDG Cloud Southlake #33: Boule & Rebala: Effective AppSec in SDLC using Deplo...GDG Cloud Southlake #33: Boule & Rebala: Effective AppSec in SDLC using Deplo...
GDG Cloud Southlake #33: Boule & Rebala: Effective AppSec in SDLC using Deplo...
James Anderson
 
How world-class product teams are winning in the AI era by CEO and Founder, P...
How world-class product teams are winning in the AI era by CEO and Founder, P...How world-class product teams are winning in the AI era by CEO and Founder, P...
How world-class product teams are winning in the AI era by CEO and Founder, P...
Product School
 
Unsubscribed: Combat Subscription Fatigue With a Membership Mentality by Head...
Unsubscribed: Combat Subscription Fatigue With a Membership Mentality by Head...Unsubscribed: Combat Subscription Fatigue With a Membership Mentality by Head...
Unsubscribed: Combat Subscription Fatigue With a Membership Mentality by Head...
Product School
 
Key Trends Shaping the Future of Infrastructure.pdf
Key Trends Shaping the Future of Infrastructure.pdfKey Trends Shaping the Future of Infrastructure.pdf
Key Trends Shaping the Future of Infrastructure.pdf
Cheryl Hung
 
Empowering NextGen Mobility via Large Action Model Infrastructure (LAMI): pav...
Empowering NextGen Mobility via Large Action Model Infrastructure (LAMI): pav...Empowering NextGen Mobility via Large Action Model Infrastructure (LAMI): pav...
Empowering NextGen Mobility via Large Action Model Infrastructure (LAMI): pav...
Thierry Lestable
 
ODC, Data Fabric and Architecture User Group
ODC, Data Fabric and Architecture User GroupODC, Data Fabric and Architecture User Group
ODC, Data Fabric and Architecture User Group
CatarinaPereira64715
 
LF Energy Webinar: Electrical Grid Modelling and Simulation Through PowSyBl -...
LF Energy Webinar: Electrical Grid Modelling and Simulation Through PowSyBl -...LF Energy Webinar: Electrical Grid Modelling and Simulation Through PowSyBl -...
LF Energy Webinar: Electrical Grid Modelling and Simulation Through PowSyBl -...
DanBrown980551
 
To Graph or Not to Graph Knowledge Graph Architectures and LLMs
To Graph or Not to Graph Knowledge Graph Architectures and LLMsTo Graph or Not to Graph Knowledge Graph Architectures and LLMs
To Graph or Not to Graph Knowledge Graph Architectures and LLMs
Paul Groth
 
Smart TV Buyer Insights Survey 2024 by 91mobiles.pdf
Smart TV Buyer Insights Survey 2024 by 91mobiles.pdfSmart TV Buyer Insights Survey 2024 by 91mobiles.pdf
Smart TV Buyer Insights Survey 2024 by 91mobiles.pdf
91mobiles
 
Builder.ai Founder Sachin Dev Duggal's Strategic Approach to Create an Innova...
Builder.ai Founder Sachin Dev Duggal's Strategic Approach to Create an Innova...Builder.ai Founder Sachin Dev Duggal's Strategic Approach to Create an Innova...
Builder.ai Founder Sachin Dev Duggal's Strategic Approach to Create an Innova...
Ramesh Iyer
 
Bits & Pixels using AI for Good.........
Bits & Pixels using AI for Good.........Bits & Pixels using AI for Good.........
Bits & Pixels using AI for Good.........
Alison B. Lowndes
 
Leading Change strategies and insights for effective change management pdf 1.pdf
Leading Change strategies and insights for effective change management pdf 1.pdfLeading Change strategies and insights for effective change management pdf 1.pdf
Leading Change strategies and insights for effective change management pdf 1.pdf
OnBoard
 
State of ICS and IoT Cyber Threat Landscape Report 2024 preview
State of ICS and IoT Cyber Threat Landscape Report 2024 previewState of ICS and IoT Cyber Threat Landscape Report 2024 preview
State of ICS and IoT Cyber Threat Landscape Report 2024 preview
Prayukth K V
 
UiPath Test Automation using UiPath Test Suite series, part 4
UiPath Test Automation using UiPath Test Suite series, part 4UiPath Test Automation using UiPath Test Suite series, part 4
UiPath Test Automation using UiPath Test Suite series, part 4
DianaGray10
 
Mission to Decommission: Importance of Decommissioning Products to Increase E...
Mission to Decommission: Importance of Decommissioning Products to Increase E...Mission to Decommission: Importance of Decommissioning Products to Increase E...
Mission to Decommission: Importance of Decommissioning Products to Increase E...
Product School
 
Designing Great Products: The Power of Design and Leadership by Chief Designe...
Designing Great Products: The Power of Design and Leadership by Chief Designe...Designing Great Products: The Power of Design and Leadership by Chief Designe...
Designing Great Products: The Power of Design and Leadership by Chief Designe...
Product School
 
The Art of the Pitch: WordPress Relationships and Sales
The Art of the Pitch: WordPress Relationships and SalesThe Art of the Pitch: WordPress Relationships and Sales
The Art of the Pitch: WordPress Relationships and Sales
Laura Byrne
 
Search and Society: Reimagining Information Access for Radical Futures
Search and Society: Reimagining Information Access for Radical FuturesSearch and Society: Reimagining Information Access for Radical Futures
Search and Society: Reimagining Information Access for Radical Futures
Bhaskar Mitra
 

Recently uploaded (20)

JMeter webinar - integration with InfluxDB and Grafana
JMeter webinar - integration with InfluxDB and GrafanaJMeter webinar - integration with InfluxDB and Grafana
JMeter webinar - integration with InfluxDB and Grafana
 
The Future of Platform Engineering
The Future of Platform EngineeringThe Future of Platform Engineering
The Future of Platform Engineering
 
GDG Cloud Southlake #33: Boule & Rebala: Effective AppSec in SDLC using Deplo...
GDG Cloud Southlake #33: Boule & Rebala: Effective AppSec in SDLC using Deplo...GDG Cloud Southlake #33: Boule & Rebala: Effective AppSec in SDLC using Deplo...
GDG Cloud Southlake #33: Boule & Rebala: Effective AppSec in SDLC using Deplo...
 
How world-class product teams are winning in the AI era by CEO and Founder, P...
How world-class product teams are winning in the AI era by CEO and Founder, P...How world-class product teams are winning in the AI era by CEO and Founder, P...
How world-class product teams are winning in the AI era by CEO and Founder, P...
 
Unsubscribed: Combat Subscription Fatigue With a Membership Mentality by Head...
Unsubscribed: Combat Subscription Fatigue With a Membership Mentality by Head...Unsubscribed: Combat Subscription Fatigue With a Membership Mentality by Head...
Unsubscribed: Combat Subscription Fatigue With a Membership Mentality by Head...
 
Key Trends Shaping the Future of Infrastructure.pdf
Key Trends Shaping the Future of Infrastructure.pdfKey Trends Shaping the Future of Infrastructure.pdf
Key Trends Shaping the Future of Infrastructure.pdf
 
Empowering NextGen Mobility via Large Action Model Infrastructure (LAMI): pav...
Empowering NextGen Mobility via Large Action Model Infrastructure (LAMI): pav...Empowering NextGen Mobility via Large Action Model Infrastructure (LAMI): pav...
Empowering NextGen Mobility via Large Action Model Infrastructure (LAMI): pav...
 
ODC, Data Fabric and Architecture User Group
ODC, Data Fabric and Architecture User GroupODC, Data Fabric and Architecture User Group
ODC, Data Fabric and Architecture User Group
 
LF Energy Webinar: Electrical Grid Modelling and Simulation Through PowSyBl -...
LF Energy Webinar: Electrical Grid Modelling and Simulation Through PowSyBl -...LF Energy Webinar: Electrical Grid Modelling and Simulation Through PowSyBl -...
LF Energy Webinar: Electrical Grid Modelling and Simulation Through PowSyBl -...
 
To Graph or Not to Graph Knowledge Graph Architectures and LLMs
To Graph or Not to Graph Knowledge Graph Architectures and LLMsTo Graph or Not to Graph Knowledge Graph Architectures and LLMs
To Graph or Not to Graph Knowledge Graph Architectures and LLMs
 
Smart TV Buyer Insights Survey 2024 by 91mobiles.pdf
Smart TV Buyer Insights Survey 2024 by 91mobiles.pdfSmart TV Buyer Insights Survey 2024 by 91mobiles.pdf
Smart TV Buyer Insights Survey 2024 by 91mobiles.pdf
 
Builder.ai Founder Sachin Dev Duggal's Strategic Approach to Create an Innova...
Builder.ai Founder Sachin Dev Duggal's Strategic Approach to Create an Innova...Builder.ai Founder Sachin Dev Duggal's Strategic Approach to Create an Innova...
Builder.ai Founder Sachin Dev Duggal's Strategic Approach to Create an Innova...
 
Bits & Pixels using AI for Good.........
Bits & Pixels using AI for Good.........Bits & Pixels using AI for Good.........
Bits & Pixels using AI for Good.........
 
Leading Change strategies and insights for effective change management pdf 1.pdf
Leading Change strategies and insights for effective change management pdf 1.pdfLeading Change strategies and insights for effective change management pdf 1.pdf
Leading Change strategies and insights for effective change management pdf 1.pdf
 
State of ICS and IoT Cyber Threat Landscape Report 2024 preview
State of ICS and IoT Cyber Threat Landscape Report 2024 previewState of ICS and IoT Cyber Threat Landscape Report 2024 preview
State of ICS and IoT Cyber Threat Landscape Report 2024 preview
 
UiPath Test Automation using UiPath Test Suite series, part 4
UiPath Test Automation using UiPath Test Suite series, part 4UiPath Test Automation using UiPath Test Suite series, part 4
UiPath Test Automation using UiPath Test Suite series, part 4
 
Mission to Decommission: Importance of Decommissioning Products to Increase E...
Mission to Decommission: Importance of Decommissioning Products to Increase E...Mission to Decommission: Importance of Decommissioning Products to Increase E...
Mission to Decommission: Importance of Decommissioning Products to Increase E...
 
Designing Great Products: The Power of Design and Leadership by Chief Designe...
Designing Great Products: The Power of Design and Leadership by Chief Designe...Designing Great Products: The Power of Design and Leadership by Chief Designe...
Designing Great Products: The Power of Design and Leadership by Chief Designe...
 
The Art of the Pitch: WordPress Relationships and Sales
The Art of the Pitch: WordPress Relationships and SalesThe Art of the Pitch: WordPress Relationships and Sales
The Art of the Pitch: WordPress Relationships and Sales
 
Search and Society: Reimagining Information Access for Radical Futures
Search and Society: Reimagining Information Access for Radical FuturesSearch and Society: Reimagining Information Access for Radical Futures
Search and Society: Reimagining Information Access for Radical Futures
 

Verification Strategy for PCI-Express

  • 1. Verification Strategy for PCI-Express Presenter: Pradip Thaker July 4th, 2008
  • 2. 2 Outline PCI-Express Protocol Overview Verification Paradigm Design-for-Verification (Well-aligned implementation and verification architectures) A key ingredient for a timely verification closure
  • 3. 3 PCI to PCI Express Limitations of PCI Not enough bandwidth 32-bit/33 MHz (132 MB/s) 64-bit/66 MHz (528 MB/s) Shared bus bandwidth No support for Isochronous applications (TDM or Synchronous Traffic application) Cost of hardware for parallel busses Evolution Path Growing faster is the only possibility (not wider) Point-to-point communication (Shared bus connectivity impossible above 100/150 MHz) CDR architecture (Speed limitation of a synchronous bus above few hundred MHz) Backward compatibility – a must Fast forward to future – PCI Express (PCIe) Packet-level data-units over high-speed SERDES based connectivity Layered architecture – much like networking protocols Mechanical, Physical, Data-link, Transaction, Software and System Layers Compatible with existing PCI software infrastructure Weird wedding of two distinct architectural and business practices – Networking and Computer Creation of nightmarish scenario for chip verification (Details on later slides)
  • 4. 4 PCI-Express Protocol Overview - Terminology Dual Simplex – a related set of two differential pairs (Tx and Rx) Lane – “Dual Simplex” when PCI-Express compliant Port – A group of Txs and Rxs within a single device that represent a single connection to PCI-Express fabric Link – Two ports and the collection of lanes that interconnect them x1, x4, x8, xN – Number of lanes within a port or a link Upstream – Flow of traffic towards the CPU or a port that establishes link in that direction within the hierarchy Downstream – Flow of traffic away from the CPU or a port that establishes a link in that direction within the hierarchy Ingress Port – the portion of a PCIe port that receives the incoming traffic Egress Port – the portion of a PCIe port that transmits outgoing traffic Root Complex – The combination of a PCIe host bridge and one or more downstream ports Endpoint – A device that terminates a path within the hierarchy Bridge – A device that physically and electrically connects PCIe to another protocol Switch – A device that provides a physical connection between two or more PCIe ports
  • 6. 6 PCI-Express Protocol Overview : Physical Logical Functions 8B/10B Encoding and Decoding Scrambling Reset, initialization, multi-lane de-skew Lane mapping Adjustments of bit-transmission order for various throughput options (x1 through x32) Logical idle behavior and transition to active state as per protocol TLP and DLLP transmission and reception: Insertion and Processing of Special Symbols per protocol conditions Link initialization (recovery from link errors, transition from low power states) Link negotiations Width Data-rate Lane reversal Polarity inversion Link synchronization Bit-wise per lane Symbol-wise per lane Lane-to-lane de-skew Ordered (TS and Skip) set handling and processing Fast training sequence Link power management Delay insertions as per protocol……………………more that could not fit here Electrical Functions Link within 600 ppm at all times Spread spectrum clocking AC coupling Interconnect parasitic capacitance adherence Receiver DC commong mode voltage of 0 V Transmitter DC common mode established during “Detect” Receiver Detect under various scenarios Total jitter Maximum loss budget De-emphasis Maximum BER Beacon………………………………more that could not fit here
  • 7. 7 PCI-Express Protocol Overview : Data-link Layer Link management DL_UP, DL_Down, DL_Inactive, DL_Active, DL_Init state transitions Slot power limit handling Propagation of link-reset downstream Point-to-point reliable data exchange Error detection, re-try as well as Error Logging and Reporting Power Management message decoding, state transitions for activation and de-activation TLP sequence number generation and tracking LCRC computation and decoding DLLP integrity encoding and decoding ACK/NAK generation and processing ACK time-out notification and handling Flow control computation, tracking and processing – Credit based flow-control Data poisoning Completion Time-out Re-transmission of packets Package storage for re-try/replay DLLP generation, processing and actuation based on current status ACK DLLP NAK DLLP InitiFC1 InitFC2 UpdateFC Power Management Vendor specific Cut-through routing TLP/DLLP ordering permutations per protocol TLP integrity check insertion and processing ACK/NAK latency timer rules processing a limit-triggered response………………….more that could not fit here
  • 8. 8 PCI-Express Protocol Overview : Transaction Layer Flow control management TL manages, DL executes Point-to-point, not end-to-end Independent for each VC ID Mechanism presumes “Ideal” conditions Credit types – PH, PD, NPH, NPD, CPLH, CPLD Data transactions TLP storage and processing for transmission or consumption TLP generation: Header, Payload and Digest TLP generation and handling of various lengths (4 Bytes to 4096 Bytes) Transaction types Memory (32-bit and 64-bite addressing) I/O Configuration Message INTx PME ERR Unlock Slot Power Hot Plug Vendor-defined Transaction Completion Reads and non-posted writes Completion routing is by ID Provide completion status Transaction Ordering Routing rules Arbitration Port arbitration VC arbitration Virtual channels Traffic classes Locked transactions support Isochronous support Advance error processing and reporting………………………….………more that could not fit here
  • 9. 9 PCI-Express Protocol Overview: Summary Open standard containing over 500 pages Many more pages of supporting literature Each line of each page in the standards document is a cryptic edict dictating a specific behavior for each condition and not a detailed explanation about behavior or implementation Much space for protocol detail misinterpretation resulting into mal-function or non-compliance Hundreds of configuration bits – each controlling a complex behavior within the chip with strict adherence to standard dictate to guarantee backward software compatibility No wiggle room to claim bug as a feature!!!
  • 10. 10 Verification Paradigm Chips based on Open-Standard – Pressure Points Technology/Feature differentiator – Marginal or Non-existing Commodity product – Power, Performance and Price Time-to-market – Very Critical First product – To Establish Credible Presence Sub-sequent products with various flavors – To Capture Market Share Bridges: PCI-to-PCIe, SATA-to-PCIe, 1394-to-PCIe, USB-to-PCIe etc. Switches: 4-port x1 throughput, 4-port x4 throughput, 8-port x4 throughput, etc. Root Complex: x1 throughput, x4 throughput, etc. Quality of First Silicon – Critical Verification Plays A Major Role in Success of Chips based on Open-Standard Addresses Two Key Aspects: TTM and Quality of Silicon Verification Execution: Focal Points Functionality Performance Interoperability (Compliance and Compatibility) Verification Platform Architecture and Methodology: Focal Points Re-usability Scalability (Modularity) Comprehensiveness (with leveraging of automation)
  • 11. 11 Verification Strategy: A Broader Definition Verification – A vehicle to deliver chips with “Zero Bugs(!)”, Compliance and Superior performance Performance Modeling (C/C++/SystemC) Architecture and Micro-architecture of Key Data and Control Paths RTL Verification FPGA-based Emulation Compliance and Compatibility testing PCI-SIG certification to be on Integrator’s List Performance verification 3rd party Compliance Checkers and Vectors Mixed-signal Simulations
  • 12. 12 Functional Verification: Four Pillars Coverage-driven constrained-random testing with reference models (HVLs) Reference Model (RFM) Temporal Checkers Protocol Monitors Sequence Generators Constraints Functional Coverage Test-plan Assertion-based verification for key building blocks Detects design errors at the source – increases observability and decreases debug-time Can identify subtle bugs that may be hard to reach with SBV Black-box assertions – Protocol oriented Effective for size/complexity to an extent (memory-size and run-time limitations) Suitable for block-level deployment rather than end-to-end chip-level stand-alone verification method Complex properties are verified through bounded-proof (neither proven nor falsified) Effective for control-path oriented logic (state space exploration rather than data-path logic) verification Assertions when written by engineer other than designer can help detect specification (interpretation) class of errors Asynchronous clock-domain simulations Power-domain simulations – Power Management Compliance Check-list Improper Buffer Insertion, Missing Level Shifters, Missing Power Good, Power Sequencing Tests
  • 13. 13 Functional Verification: CDV (Re-usability and Scalability)
  • 14. 14 Functional Verification: Golden Rules for RFM Reference Model shall be independent of the DUT implementation Reference Model to be created by engineer other than designer of the block Reference Model created in high-level language and hence it does not have any low- level mechanics analogous to RTL implementation to realize functionality Reference Model shall support co-simulation with the DUT in order to predict and verify run-time behavior Reference Model for each block shall be created such that it can be integrated into chip-level verification environment seamlessly Hybrid Modeling Control paths: Cycle-accurate modeling Data paths: Packet-accurate or Data-unit-accurate modeling Fully cycle-accurate model is maintenance nightmare as well as a cumbersome task without significant value-add to verification quality Comprehensiveness (with leveraging of automation) CDV is only as powerful as comprehensiveness of automated checking features of reference model and monitors Can run millions of RTG cycles with comprehensive reference model and monitors without much manual overhead
  • 15. 15 Performance Verification Performance Parameters (to be supported with variable sized packets across mixed-traffic types, across all traffic patterns, mixed VCs and mixed-packet sizes) Aggregate Throughput Latency (to be balanced against power dissipation) Jitter in Latency Availability/Blocking – Internal back-pressure N+1 Performance limitation (small TLPs back-to-back) Flow-control credits Load distribution and balancing (peer-to-peer as well as vertical traffic flows with mixed of traffic types, VCs and packet sizes) Link utilization – No bubbles within or between TLPs (really challenging for cut- through mode) Zero tolerance for packet loss Zero tolerance for wrong packet routing 20% overhead lost in 8B/10B coding Small TLPs with header as well as DL layer overhead impacting transaction layer efficiency even with 100% link utilization Traffic-aware flow-control credit updates (large and small TLPs) Performance Modeling (C/C++/SystemC) Architecture and Micro-architecture of Key Data and Control Paths FPGA-based Emulation RTL Verification – Not an adequate method for performance testing for PCIe development
  • 16. 16 Compliance Verification Electrical Compliance Check-list Signal Quality Analysis Eye pattern, jitter and BER analysis Signaling for upstream and downstream Jitter Analysis DLL Clock recovery Interpolation Transition/non-transition eye points Data-Link Layer Compliance Check-list Reserved Fields testing NAK Response Replay Timer Replay Count Link Retrain Replay TLP Order Bad CRC Undefined Packet Bad Sequence Number Duplicate TLP Transaction Layer Compliance Check-list Completion request, completion time-out, read-data Messaging – Legacy interrupts, Native power management, Hot-plug, Error Signaling Flow Control – Initialization, Transmit and Receive States, Negotiated Link Width Virtual Channel System Architecture/Platform-configuration Check-list Capability registers testing Default values Stress test Slot reporting Hot plug event reporting
  • 17. 17 Compliance Verification Separate compliance check-list with some overlap for RC, Endpoints and Switches Integrated PHY in the silicon FPGA platforms with discrete PHY and digital logic FPGA-based emulation (Native or 3rd Party) Compliance testing with Agilent PTC and PCI-SIG Golden Suite Compatibility testing with over 80% of the systems during PlugFest PCI-SIG certification to be on Integrator’s List Native protocol checkers – static and temporal 3rd party Compliance Checkers and Vectors Synopsys, Denali, nSys and others
  • 18. 18 Design-for-Verification Cafeteria Architecture: Modular and Scalable For rapid deployment of various flavors of bridges and switches based on flagship platform part Speed of Capturing market-share as critical as first product deployment to establish credible presence Modular architecture to enable thorough block-level or sub-system level simulations Functional partitioning to reduce scope of chip-level verification effort and complexity Push v/s Pull Inter-block Data-threads Distributed v/s Centralized Control Processing Standardized block interface Reduce scope of “Error of Specification” and “Error of Omission” Promote verification component re-use (BFMs, Sequences, etc.) Minimum number as well as flavors of physical interconnects between blocks (may use in-band signaling where applicable) Emphasis on correct-by-construction practices during design-creation phase Otherwise TTM Window will be missed due to prolonged verification or multiple re- spins (PCIe non-forgiving of bugs that hamper compliance or compatibility)