SlideShare a Scribd company logo
CHI (Coherent Hub Interface)
Introduction
• Coherent Hub Interface (CHI) is an evolution of the AXI Coherency Extensions (ACE) protocol. It is
part of the Advanced Microcontroller Bus Architecture (AMBA).
• AMBA is a freely available, globally adopted, open standard for the connection and management
of functional blocks in a system-on-chip (SoC).
• It facilitates right-first-time development of multi-processor designs, with large numbers of
controllers and peripherals.
AMBA ROADMAP
ACE vs CHI
• ACE was designed as an extension to AXI to handle coherency, but it is not without shortfalls. It
served designs with smaller coherent clusters well, but as SoCs and system becomes more
complex and the number of processors increased, the need for better coherency and efficiency
increased.
• Arm released a packet-based layered coherency architecture, without dependencies on AXI or
ACE. CHI was built with performance improvement and scalability in mind of applications and
systems such as mobile, networking, automotive and data center.
Components of Interconnect
• Standalone processors
• Processor clusters
• Graphic processors
• Memory controllers
• I/O bridges
• PCIe subsystems
• Interconnects
RING TOPOLOGY
• In the ring, each component connects directly to two other components, forming a ring where all
the components can communicate with each other.
• The disadvantage of this topology is that latency increases linearly with the number of
components in the ring. This is because a transaction must traverse the ring until it reaches its
destination.
• The ring topology is best suited for medium-sized systems.
In this diagram, the circles represent requester and subordinate components in the network. The squares represent intermediary components to route transactions between requester and subordinate.
MESH TOPOLOGY
• Compared to the ring, the mesh contains more paths for a transaction to reach its destination and
therefore reduces the travel time of a transaction.
• This provides higher bandwidth in the system, at the cost of more area.
• The mesh topology is best suited for large scale systems.
CROSSBAR TOPOLOGY
• This topology allows every node to connect to every possible node.
• The drawback of this topology is the cost of connecting all the components. This is because the
number of wires needed in the system can grow significantly with each additional component.
• The crossbar topology is best suited for small-sized systems.
Architecture Layers
Functionality is grouped into the following layers:
• The Protocol layer is the topmost layer in the CHI architecture. The function of
the Protocol layer is to :
• Generate and process requests and responses at the protocol nodes.
• Define the transaction flows for each request type.
• Manage the protocol level flow control.
• The function of the Network layer is to :
• Packetize the protocol message.
• Determine the source and target Node IDs required to route the packet over the
interconnect to the required destination and add to the packet
• The function of the Link layer is to :
• Provide flow control between network devices.
• Manage link channels to provide deadlock-free switching across the network.
Example CHI system
Component Naming
RN :
Request Node generates protocol transactions, including reads and writes, to the interconnect.
RN-F :
Fully Coherent Request Node
• Includes a hardware-coherent cache.
• Permitted to do all transactions as defined by the protocol.
• Supports all Snoop transactions.
RN-D :
IO Coherent Request Node with DVM support
• Does not include a hardware-coherent cache
• Receives DVM transactions.
• Generates a Subset of transactions defined by the protocol.
RN-I :
IO Coherent Request Node
• Does not include a hardware-coherent cache
• Does not receives DVM transactions.
• Generates a Subset of transactions defined by the protocol.
• Does not require Snoop functionality.
Component Naming(Contd..)
HN :
Home Node within the interconnect receives protocol transactions from Request Nodes.
HN-F :
Fully Coherent Home Node
• Expected to receive all request types, except DVMOp.
• Includes a PoC (Point of Coherence) and PoS (Point Of Serialization).
• Might include a directory or snoop filter to reduce redundant snoops
HN-I :
Non Coherent Home Node
• Processes a limited subset of transactions defined by the protocol.
• Does not includes PoC and is not capable of processing a snoopable request.
• Expected to be the PoS that manages order between IO requests targeting the IO subsystem.
MN :
Miscellaneous Node receives a DVM transaction from a Request Node, completes the required action and returns a
response.
Component Naming(Contd..)
SN :
Subordinate Node receives a request from Home Node, completes required action, and returns a response.
SN-F :
A Subordinate Node type used for Normal memory. It can process Non-snoopable
Read, Write, and Atomic requests, including exclusive variants of them, and
Cache Maintenance Operation (CMO) requests.
SN-I :
A Subordinate Node type used for Peripherals or Normal memory. It can process
Non-snoopable Read, Write, and Atomic requests, including exclusive variants of them, and Cache Maintenance Operation
(CMO) requests.
Read Data Source
• The data for a read request can be either from the
Cache within Home or Subordinate or from Peer
RN-F
• CHI uses three features to reduce number of hops
to complete a transaction.
DMT Direct Memory Transfer
DCT Direct Cache Transfer
DWT Direct Write-data Transfer
ARM® CoreLink™ CCN-508 Cache Coherent Network
ARM® CoreLink™ CCN-508 Cache Coherent Network with complete coherent system.
CCN details
• XP : CrossPoint is switch or router logic module
• RN-F : Processors, clusters, GPUs or other RNs with a coherent cache.
• SN-F : CHI memory controllers
• HN-F : Have SLC and SF, have combined PoC and PoS which is responsible for
ordering of all memory requests sent to this HN-F.
• SLC : System Level Cache(L3 here), allocation policy is “exclusive until shared”.
• SF : Snoop Filter for tracking cache line states in RN-Fs.
In a CHI interconnect, you assign a single HN to each byte of the system address
space. That HN is responsible for handling all memory transactions that are
associated with that address.
RN -> HN -> SN
Arm® CoreLink™ CI-700 Coherent Interconnect
4 x 2 mesh configuration
Arm® CoreLink™ CI-700 Coherent Interconnect
4 x 2 mesh configuration with CAL
System Address Map
• Every component in the system is assigned a Unique Node ID. CHI uses the System Address Map
(SAM) to convert physical addresses to a target Node ID.
• To be able to determine the target Node ID of outgoing requests, each RN and HN must have a
SAM.
System Address Map(Contd...)
1.The transaction with address 0x8000_0000 passes through the RN SAM in Node 0.
2. The RN SAM determines the destination as Node 5.
3. The transaction is routed to the HN with Node 5.
4. The HN receives the transaction.
5. The HN passes the address through its HN SAM and determines the destination as Node 2.
6. The transaction gets routed to the SN with Node 2.
NODE IDs
• For the HN-I connected to XP (1,0), the node ID reads as 36.
• The equivalent binary value is 01 00 1 00.
• In other words, the X position value = 01, the Y position value = 00, the device port value = 1, and the device ID value = 00.
CHANNELS
Key Features
• Scalable architecture
• Independent layered approach, comprising of Protocol, Network, and Link layer, with distinct functionalities.
• Packet-based communication.
• All transactions handled by an interconnect-based Home Node that co-ordinates required snoops, cache, and
memory accesses.
• The CHI coherence protocol supports:
• Coherency granule of 64-byte cache line.
• Snoop filter and directory based systems for snoop scaling.
• Both MESI and MOESI cache models with forwarding of data from any cache state.
• Additional partial and empty cache line states.
• Supports Virtual Memory Management through DVM operations.
• Supports Cache Stashing and atomic operations
• Request Retry to manage protocol resources.
• Support for end-to-end QoS.
• RAS features.
• Support for MTE(Memory Tagging Extension).
THANK YOU

More Related Content

What's hot

AMBA Ahb 2.0
AMBA Ahb 2.0AMBA Ahb 2.0
AMBA Ahb 2.0
Akhil Srivastava
 
Axi
AxiAxi
Slideshare - PCIe
Slideshare - PCIeSlideshare - PCIe
Slideshare - PCIe
Jin Wu
 
Verification Strategy for PCI-Express
Verification Strategy for PCI-ExpressVerification Strategy for PCI-Express
Verification Strategy for PCI-ExpressDVClub
 
Direct Memory Access (DMA)-Working and Implementation
Direct Memory Access (DMA)-Working and ImplementationDirect Memory Access (DMA)-Working and Implementation
Direct Memory Access (DMA)-Working and Implementation
Shubham Kumar
 
Pcie basic
Pcie basicPcie basic
Pcie basic
Saifuddin Kaijar
 
Advance Peripheral Bus
Advance Peripheral Bus Advance Peripheral Bus
Advance Peripheral Bus
SIVA NAGENDRA REDDY
 
80386 Architecture
80386 Architecture80386 Architecture
80386 Architecture
Rohit Choudhury
 
System verilog assertions
System verilog assertionsSystem verilog assertions
System verilog assertions
HARINATH REDDY
 
Amba presentation2
Amba presentation2Amba presentation2
Amba presentation2
Rashi Aggarwal
 
SOC Verification using SystemVerilog
SOC Verification using SystemVerilog SOC Verification using SystemVerilog
SOC Verification using SystemVerilog
Ramdas Mozhikunnath
 
Pci express technology 3.0
Pci express technology 3.0Pci express technology 3.0
Pci express technology 3.0
Biddika Manjusree
 
Design and Implementation of Axi-Apb Bridge based on Amba 4.0
Design and Implementation of Axi-Apb Bridge based on Amba 4.0Design and Implementation of Axi-Apb Bridge based on Amba 4.0
Design and Implementation of Axi-Apb Bridge based on Amba 4.0
ijsrd.com
 
Microprocessor 80386
Microprocessor 80386Microprocessor 80386
Microprocessor 80386yash sawarkar
 
Arm assembly language programming
Arm assembly language programmingArm assembly language programming
Arm assembly language programming
v Kalairajan
 
Soc architecture and design
Soc architecture and designSoc architecture and design
Soc architecture and design
Satya Harish
 
PCI express
PCI expressPCI express
PCI express
sarangaprabod
 

What's hot (20)

AMBA Ahb 2.0
AMBA Ahb 2.0AMBA Ahb 2.0
AMBA Ahb 2.0
 
Axi
AxiAxi
Axi
 
Slideshare - PCIe
Slideshare - PCIeSlideshare - PCIe
Slideshare - PCIe
 
Verification Strategy for PCI-Express
Verification Strategy for PCI-ExpressVerification Strategy for PCI-Express
Verification Strategy for PCI-Express
 
Direct Memory Access (DMA)-Working and Implementation
Direct Memory Access (DMA)-Working and ImplementationDirect Memory Access (DMA)-Working and Implementation
Direct Memory Access (DMA)-Working and Implementation
 
Pcie basic
Pcie basicPcie basic
Pcie basic
 
Advance Peripheral Bus
Advance Peripheral Bus Advance Peripheral Bus
Advance Peripheral Bus
 
80386 Architecture
80386 Architecture80386 Architecture
80386 Architecture
 
AMBA 2.0 PPT
AMBA 2.0 PPTAMBA 2.0 PPT
AMBA 2.0 PPT
 
Axi
AxiAxi
Axi
 
System verilog assertions
System verilog assertionsSystem verilog assertions
System verilog assertions
 
Amba presentation2
Amba presentation2Amba presentation2
Amba presentation2
 
SOC Verification using SystemVerilog
SOC Verification using SystemVerilog SOC Verification using SystemVerilog
SOC Verification using SystemVerilog
 
AMBA 2.0 REPORT
AMBA 2.0 REPORTAMBA 2.0 REPORT
AMBA 2.0 REPORT
 
Pci express technology 3.0
Pci express technology 3.0Pci express technology 3.0
Pci express technology 3.0
 
Design and Implementation of Axi-Apb Bridge based on Amba 4.0
Design and Implementation of Axi-Apb Bridge based on Amba 4.0Design and Implementation of Axi-Apb Bridge based on Amba 4.0
Design and Implementation of Axi-Apb Bridge based on Amba 4.0
 
Microprocessor 80386
Microprocessor 80386Microprocessor 80386
Microprocessor 80386
 
Arm assembly language programming
Arm assembly language programmingArm assembly language programming
Arm assembly language programming
 
Soc architecture and design
Soc architecture and designSoc architecture and design
Soc architecture and design
 
PCI express
PCI expressPCI express
PCI express
 

Similar to AMBA 5 COHERENT HUB INTERFACE.pptx

09 Systems Software Programming-Network Programming.pptx
09 Systems Software Programming-Network Programming.pptx09 Systems Software Programming-Network Programming.pptx
09 Systems Software Programming-Network Programming.pptx
KushalSrivastava23
 
infiniband.pdf
infiniband.pdfinfiniband.pdf
infiniband.pdf
AkashSuresh45
 
Byte Ordering - Unit 2.pptx
Byte Ordering - Unit 2.pptxByte Ordering - Unit 2.pptx
Byte Ordering - Unit 2.pptx
RockyBhai46825
 
Point to point interconnect
Point to point interconnectPoint to point interconnect
Point to point interconnect
Kinza Razzaq
 
Web technologies: recap on TCP-IP
Web technologies: recap on TCP-IPWeb technologies: recap on TCP-IP
Web technologies: recap on TCP-IPPiero Fraternali
 
Bluetooth
BluetoothBluetooth
Unit 2 - Internet Protocol Overview - IT
Unit 2 - Internet Protocol Overview - ITUnit 2 - Internet Protocol Overview - IT
Unit 2 - Internet Protocol Overview - IT
Deepraj Bhujel
 
Point To Point Protocol
Point To Point ProtocolPoint To Point Protocol
Point To Point ProtocolPhan Vuong
 
LinkedIn's Approach to Programmable Data Center
LinkedIn's Approach to Programmable Data CenterLinkedIn's Approach to Programmable Data Center
LinkedIn's Approach to Programmable Data Center
Shawn Zandi
 
Scalable multiprocessors
Scalable multiprocessorsScalable multiprocessors
Scalable multiprocessorsKomal Divate
 
Computer Network
Computer NetworkComputer Network
Computer Network
Rubaya Mim
 
Addressing in networking (IP,MAC,Port addressing)
Addressing in networking (IP,MAC,Port addressing)Addressing in networking (IP,MAC,Port addressing)
Addressing in networking (IP,MAC,Port addressing)
Geethu Jose
 
Unit 2 ppt-idc
Unit 2 ppt-idcUnit 2 ppt-idc
Unit 2 ppt-idc
hiya123jes
 
Sept 2017 internetworking
Sept 2017   internetworkingSept 2017   internetworking
Sept 2017 internetworking
shahin raj
 
Novell Netware Protocol suite
Novell Netware Protocol suiteNovell Netware Protocol suite
Novell Netware Protocol suite
Omar Isaid
 
Computer Networks basics
Computer Networks basicsComputer Networks basics
Computer Networks basics
BiplabaSamantaray
 
From Device to Data Center to Insights
From Device to Data Center to InsightsFrom Device to Data Center to Insights
From Device to Data Center to Insights
DataWorks Summit/Hadoop Summit
 
unit2-210710110327.pptx
unit2-210710110327.pptxunit2-210710110327.pptx
unit2-210710110327.pptx
LAVANYAsrietacin
 
Microcontroller.pptx
Microcontroller.pptxMicrocontroller.pptx
Microcontroller.pptx
Saba651353
 

Similar to AMBA 5 COHERENT HUB INTERFACE.pptx (20)

09 Systems Software Programming-Network Programming.pptx
09 Systems Software Programming-Network Programming.pptx09 Systems Software Programming-Network Programming.pptx
09 Systems Software Programming-Network Programming.pptx
 
infiniband.pdf
infiniband.pdfinfiniband.pdf
infiniband.pdf
 
Byte Ordering - Unit 2.pptx
Byte Ordering - Unit 2.pptxByte Ordering - Unit 2.pptx
Byte Ordering - Unit 2.pptx
 
Point to point interconnect
Point to point interconnectPoint to point interconnect
Point to point interconnect
 
Web technologies: recap on TCP-IP
Web technologies: recap on TCP-IPWeb technologies: recap on TCP-IP
Web technologies: recap on TCP-IP
 
Bluetooth
BluetoothBluetooth
Bluetooth
 
Unit 2 - Internet Protocol Overview - IT
Unit 2 - Internet Protocol Overview - ITUnit 2 - Internet Protocol Overview - IT
Unit 2 - Internet Protocol Overview - IT
 
Point To Point Protocol
Point To Point ProtocolPoint To Point Protocol
Point To Point Protocol
 
LinkedIn's Approach to Programmable Data Center
LinkedIn's Approach to Programmable Data CenterLinkedIn's Approach to Programmable Data Center
LinkedIn's Approach to Programmable Data Center
 
Scalable multiprocessors
Scalable multiprocessorsScalable multiprocessors
Scalable multiprocessors
 
Computer Network
Computer NetworkComputer Network
Computer Network
 
Addressing in networking (IP,MAC,Port addressing)
Addressing in networking (IP,MAC,Port addressing)Addressing in networking (IP,MAC,Port addressing)
Addressing in networking (IP,MAC,Port addressing)
 
Unit 2 ppt-idc
Unit 2 ppt-idcUnit 2 ppt-idc
Unit 2 ppt-idc
 
Sept 2017 internetworking
Sept 2017   internetworkingSept 2017   internetworking
Sept 2017 internetworking
 
Novell Netware Protocol suite
Novell Netware Protocol suiteNovell Netware Protocol suite
Novell Netware Protocol suite
 
Atm intro
Atm introAtm intro
Atm intro
 
Computer Networks basics
Computer Networks basicsComputer Networks basics
Computer Networks basics
 
From Device to Data Center to Insights
From Device to Data Center to InsightsFrom Device to Data Center to Insights
From Device to Data Center to Insights
 
unit2-210710110327.pptx
unit2-210710110327.pptxunit2-210710110327.pptx
unit2-210710110327.pptx
 
Microcontroller.pptx
Microcontroller.pptxMicrocontroller.pptx
Microcontroller.pptx
 

Recently uploaded

Cosmetic shop management system project report.pdf
Cosmetic shop management system project report.pdfCosmetic shop management system project report.pdf
Cosmetic shop management system project report.pdf
Kamal Acharya
 
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&BDesign and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
Sreedhar Chowdam
 
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
bakpo1
 
6th International Conference on Machine Learning & Applications (CMLA 2024)
6th International Conference on Machine Learning & Applications (CMLA 2024)6th International Conference on Machine Learning & Applications (CMLA 2024)
6th International Conference on Machine Learning & Applications (CMLA 2024)
ClaraZara1
 
ML for identifying fraud using open blockchain data.pptx
ML for identifying fraud using open blockchain data.pptxML for identifying fraud using open blockchain data.pptx
ML for identifying fraud using open blockchain data.pptx
Vijay Dialani, PhD
 
Forklift Classes Overview by Intella Parts
Forklift Classes Overview by Intella PartsForklift Classes Overview by Intella Parts
Forklift Classes Overview by Intella Parts
Intella Parts
 
Hybrid optimization of pumped hydro system and solar- Engr. Abdul-Azeez.pdf
Hybrid optimization of pumped hydro system and solar- Engr. Abdul-Azeez.pdfHybrid optimization of pumped hydro system and solar- Engr. Abdul-Azeez.pdf
Hybrid optimization of pumped hydro system and solar- Engr. Abdul-Azeez.pdf
fxintegritypublishin
 
一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理
一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理
一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理
ydteq
 
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
Amil Baba Dawood bangali
 
Student information management system project report ii.pdf
Student information management system project report ii.pdfStudent information management system project report ii.pdf
Student information management system project report ii.pdf
Kamal Acharya
 
Nuclear Power Economics and Structuring 2024
Nuclear Power Economics and Structuring 2024Nuclear Power Economics and Structuring 2024
Nuclear Power Economics and Structuring 2024
Massimo Talia
 
Planning Of Procurement o different goods and services
Planning Of Procurement o different goods and servicesPlanning Of Procurement o different goods and services
Planning Of Procurement o different goods and services
JoytuBarua2
 
Standard Reomte Control Interface - Neometrix
Standard Reomte Control Interface - NeometrixStandard Reomte Control Interface - Neometrix
Standard Reomte Control Interface - Neometrix
Neometrix_Engineering_Pvt_Ltd
 
RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...
RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...
RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...
thanhdowork
 
DESIGN A COTTON SEED SEPARATION MACHINE.docx
DESIGN A COTTON SEED SEPARATION MACHINE.docxDESIGN A COTTON SEED SEPARATION MACHINE.docx
DESIGN A COTTON SEED SEPARATION MACHINE.docx
FluxPrime1
 
MCQ Soil mechanics questions (Soil shear strength).pdf
MCQ Soil mechanics questions (Soil shear strength).pdfMCQ Soil mechanics questions (Soil shear strength).pdf
MCQ Soil mechanics questions (Soil shear strength).pdf
Osamah Alsalih
 
Governing Equations for Fundamental Aerodynamics_Anderson2010.pdf
Governing Equations for Fundamental Aerodynamics_Anderson2010.pdfGoverning Equations for Fundamental Aerodynamics_Anderson2010.pdf
Governing Equations for Fundamental Aerodynamics_Anderson2010.pdf
WENKENLI1
 
CME397 Surface Engineering- Professional Elective
CME397 Surface Engineering- Professional ElectiveCME397 Surface Engineering- Professional Elective
CME397 Surface Engineering- Professional Elective
karthi keyan
 
Heap Sort (SS).ppt FOR ENGINEERING GRADUATES, BCA, MCA, MTECH, BSC STUDENTS
Heap Sort (SS).ppt FOR ENGINEERING GRADUATES, BCA, MCA, MTECH, BSC STUDENTSHeap Sort (SS).ppt FOR ENGINEERING GRADUATES, BCA, MCA, MTECH, BSC STUDENTS
Heap Sort (SS).ppt FOR ENGINEERING GRADUATES, BCA, MCA, MTECH, BSC STUDENTS
Soumen Santra
 
road safety engineering r s e unit 3.pdf
road safety engineering  r s e unit 3.pdfroad safety engineering  r s e unit 3.pdf
road safety engineering r s e unit 3.pdf
VENKATESHvenky89705
 

Recently uploaded (20)

Cosmetic shop management system project report.pdf
Cosmetic shop management system project report.pdfCosmetic shop management system project report.pdf
Cosmetic shop management system project report.pdf
 
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&BDesign and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
 
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
 
6th International Conference on Machine Learning & Applications (CMLA 2024)
6th International Conference on Machine Learning & Applications (CMLA 2024)6th International Conference on Machine Learning & Applications (CMLA 2024)
6th International Conference on Machine Learning & Applications (CMLA 2024)
 
ML for identifying fraud using open blockchain data.pptx
ML for identifying fraud using open blockchain data.pptxML for identifying fraud using open blockchain data.pptx
ML for identifying fraud using open blockchain data.pptx
 
Forklift Classes Overview by Intella Parts
Forklift Classes Overview by Intella PartsForklift Classes Overview by Intella Parts
Forklift Classes Overview by Intella Parts
 
Hybrid optimization of pumped hydro system and solar- Engr. Abdul-Azeez.pdf
Hybrid optimization of pumped hydro system and solar- Engr. Abdul-Azeez.pdfHybrid optimization of pumped hydro system and solar- Engr. Abdul-Azeez.pdf
Hybrid optimization of pumped hydro system and solar- Engr. Abdul-Azeez.pdf
 
一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理
一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理
一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理
 
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
 
Student information management system project report ii.pdf
Student information management system project report ii.pdfStudent information management system project report ii.pdf
Student information management system project report ii.pdf
 
Nuclear Power Economics and Structuring 2024
Nuclear Power Economics and Structuring 2024Nuclear Power Economics and Structuring 2024
Nuclear Power Economics and Structuring 2024
 
Planning Of Procurement o different goods and services
Planning Of Procurement o different goods and servicesPlanning Of Procurement o different goods and services
Planning Of Procurement o different goods and services
 
Standard Reomte Control Interface - Neometrix
Standard Reomte Control Interface - NeometrixStandard Reomte Control Interface - Neometrix
Standard Reomte Control Interface - Neometrix
 
RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...
RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...
RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...
 
DESIGN A COTTON SEED SEPARATION MACHINE.docx
DESIGN A COTTON SEED SEPARATION MACHINE.docxDESIGN A COTTON SEED SEPARATION MACHINE.docx
DESIGN A COTTON SEED SEPARATION MACHINE.docx
 
MCQ Soil mechanics questions (Soil shear strength).pdf
MCQ Soil mechanics questions (Soil shear strength).pdfMCQ Soil mechanics questions (Soil shear strength).pdf
MCQ Soil mechanics questions (Soil shear strength).pdf
 
Governing Equations for Fundamental Aerodynamics_Anderson2010.pdf
Governing Equations for Fundamental Aerodynamics_Anderson2010.pdfGoverning Equations for Fundamental Aerodynamics_Anderson2010.pdf
Governing Equations for Fundamental Aerodynamics_Anderson2010.pdf
 
CME397 Surface Engineering- Professional Elective
CME397 Surface Engineering- Professional ElectiveCME397 Surface Engineering- Professional Elective
CME397 Surface Engineering- Professional Elective
 
Heap Sort (SS).ppt FOR ENGINEERING GRADUATES, BCA, MCA, MTECH, BSC STUDENTS
Heap Sort (SS).ppt FOR ENGINEERING GRADUATES, BCA, MCA, MTECH, BSC STUDENTSHeap Sort (SS).ppt FOR ENGINEERING GRADUATES, BCA, MCA, MTECH, BSC STUDENTS
Heap Sort (SS).ppt FOR ENGINEERING GRADUATES, BCA, MCA, MTECH, BSC STUDENTS
 
road safety engineering r s e unit 3.pdf
road safety engineering  r s e unit 3.pdfroad safety engineering  r s e unit 3.pdf
road safety engineering r s e unit 3.pdf
 

AMBA 5 COHERENT HUB INTERFACE.pptx

  • 1. CHI (Coherent Hub Interface)
  • 2. Introduction • Coherent Hub Interface (CHI) is an evolution of the AXI Coherency Extensions (ACE) protocol. It is part of the Advanced Microcontroller Bus Architecture (AMBA). • AMBA is a freely available, globally adopted, open standard for the connection and management of functional blocks in a system-on-chip (SoC). • It facilitates right-first-time development of multi-processor designs, with large numbers of controllers and peripherals.
  • 4. ACE vs CHI • ACE was designed as an extension to AXI to handle coherency, but it is not without shortfalls. It served designs with smaller coherent clusters well, but as SoCs and system becomes more complex and the number of processors increased, the need for better coherency and efficiency increased. • Arm released a packet-based layered coherency architecture, without dependencies on AXI or ACE. CHI was built with performance improvement and scalability in mind of applications and systems such as mobile, networking, automotive and data center.
  • 5. Components of Interconnect • Standalone processors • Processor clusters • Graphic processors • Memory controllers • I/O bridges • PCIe subsystems • Interconnects
  • 6. RING TOPOLOGY • In the ring, each component connects directly to two other components, forming a ring where all the components can communicate with each other. • The disadvantage of this topology is that latency increases linearly with the number of components in the ring. This is because a transaction must traverse the ring until it reaches its destination. • The ring topology is best suited for medium-sized systems. In this diagram, the circles represent requester and subordinate components in the network. The squares represent intermediary components to route transactions between requester and subordinate.
  • 7. MESH TOPOLOGY • Compared to the ring, the mesh contains more paths for a transaction to reach its destination and therefore reduces the travel time of a transaction. • This provides higher bandwidth in the system, at the cost of more area. • The mesh topology is best suited for large scale systems.
  • 8. CROSSBAR TOPOLOGY • This topology allows every node to connect to every possible node. • The drawback of this topology is the cost of connecting all the components. This is because the number of wires needed in the system can grow significantly with each additional component. • The crossbar topology is best suited for small-sized systems.
  • 9. Architecture Layers Functionality is grouped into the following layers: • The Protocol layer is the topmost layer in the CHI architecture. The function of the Protocol layer is to : • Generate and process requests and responses at the protocol nodes. • Define the transaction flows for each request type. • Manage the protocol level flow control. • The function of the Network layer is to : • Packetize the protocol message. • Determine the source and target Node IDs required to route the packet over the interconnect to the required destination and add to the packet • The function of the Link layer is to : • Provide flow control between network devices. • Manage link channels to provide deadlock-free switching across the network.
  • 11. Component Naming RN : Request Node generates protocol transactions, including reads and writes, to the interconnect. RN-F : Fully Coherent Request Node • Includes a hardware-coherent cache. • Permitted to do all transactions as defined by the protocol. • Supports all Snoop transactions. RN-D : IO Coherent Request Node with DVM support • Does not include a hardware-coherent cache • Receives DVM transactions. • Generates a Subset of transactions defined by the protocol. RN-I : IO Coherent Request Node • Does not include a hardware-coherent cache • Does not receives DVM transactions. • Generates a Subset of transactions defined by the protocol. • Does not require Snoop functionality.
  • 12. Component Naming(Contd..) HN : Home Node within the interconnect receives protocol transactions from Request Nodes. HN-F : Fully Coherent Home Node • Expected to receive all request types, except DVMOp. • Includes a PoC (Point of Coherence) and PoS (Point Of Serialization). • Might include a directory or snoop filter to reduce redundant snoops HN-I : Non Coherent Home Node • Processes a limited subset of transactions defined by the protocol. • Does not includes PoC and is not capable of processing a snoopable request. • Expected to be the PoS that manages order between IO requests targeting the IO subsystem. MN : Miscellaneous Node receives a DVM transaction from a Request Node, completes the required action and returns a response.
  • 13. Component Naming(Contd..) SN : Subordinate Node receives a request from Home Node, completes required action, and returns a response. SN-F : A Subordinate Node type used for Normal memory. It can process Non-snoopable Read, Write, and Atomic requests, including exclusive variants of them, and Cache Maintenance Operation (CMO) requests. SN-I : A Subordinate Node type used for Peripherals or Normal memory. It can process Non-snoopable Read, Write, and Atomic requests, including exclusive variants of them, and Cache Maintenance Operation (CMO) requests.
  • 14. Read Data Source • The data for a read request can be either from the Cache within Home or Subordinate or from Peer RN-F • CHI uses three features to reduce number of hops to complete a transaction. DMT Direct Memory Transfer DCT Direct Cache Transfer DWT Direct Write-data Transfer
  • 15. ARM® CoreLink™ CCN-508 Cache Coherent Network
  • 16. ARM® CoreLink™ CCN-508 Cache Coherent Network with complete coherent system.
  • 17.
  • 18. CCN details • XP : CrossPoint is switch or router logic module • RN-F : Processors, clusters, GPUs or other RNs with a coherent cache. • SN-F : CHI memory controllers • HN-F : Have SLC and SF, have combined PoC and PoS which is responsible for ordering of all memory requests sent to this HN-F. • SLC : System Level Cache(L3 here), allocation policy is “exclusive until shared”. • SF : Snoop Filter for tracking cache line states in RN-Fs. In a CHI interconnect, you assign a single HN to each byte of the system address space. That HN is responsible for handling all memory transactions that are associated with that address.
  • 19. RN -> HN -> SN
  • 20. Arm® CoreLink™ CI-700 Coherent Interconnect 4 x 2 mesh configuration
  • 21. Arm® CoreLink™ CI-700 Coherent Interconnect 4 x 2 mesh configuration with CAL
  • 22.
  • 23.
  • 24. System Address Map • Every component in the system is assigned a Unique Node ID. CHI uses the System Address Map (SAM) to convert physical addresses to a target Node ID. • To be able to determine the target Node ID of outgoing requests, each RN and HN must have a SAM.
  • 25. System Address Map(Contd...) 1.The transaction with address 0x8000_0000 passes through the RN SAM in Node 0. 2. The RN SAM determines the destination as Node 5. 3. The transaction is routed to the HN with Node 5. 4. The HN receives the transaction. 5. The HN passes the address through its HN SAM and determines the destination as Node 2. 6. The transaction gets routed to the SN with Node 2.
  • 26. NODE IDs • For the HN-I connected to XP (1,0), the node ID reads as 36. • The equivalent binary value is 01 00 1 00. • In other words, the X position value = 01, the Y position value = 00, the device port value = 1, and the device ID value = 00.
  • 28. Key Features • Scalable architecture • Independent layered approach, comprising of Protocol, Network, and Link layer, with distinct functionalities. • Packet-based communication. • All transactions handled by an interconnect-based Home Node that co-ordinates required snoops, cache, and memory accesses. • The CHI coherence protocol supports: • Coherency granule of 64-byte cache line. • Snoop filter and directory based systems for snoop scaling. • Both MESI and MOESI cache models with forwarding of data from any cache state. • Additional partial and empty cache line states. • Supports Virtual Memory Management through DVM operations. • Supports Cache Stashing and atomic operations • Request Retry to manage protocol resources. • Support for end-to-end QoS. • RAS features. • Support for MTE(Memory Tagging Extension).