SlideShare a Scribd company logo
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056
Volume: 05 Issue: 11 | Nov 2018 www.irjet.net p-ISSN: 2395-0072
© 2018, IRJET | Impact Factor value: 7.211 | ISO 9001:2008 Certified Journal | Page 1021
Proposing a RTD-based block for on-chip GPU caches to reduce static
power leaks
Richa Sharma
Netaji Subhas University of Technology, New Delhi, 110078
----------------------------------------------------------------------***---------------------------------------------------------------------
Abstract - GPUs dominate the industry in the parallel processing. The architecture of GPUs supports parallel algorithms and
process images at much higher rates than CPUs. Each generation of GPUs increases the use of on-chip caches and number of
processor cores embedded on-chip. Also, with each generation CMOS technology gets significantly worse in its leakage energy
consumption. This paper addresses the issues such energy leaks can cause in the efficiency of GPU computing. Itfurtherdelvesinto
Cache Power Management for GPUs. In this paper, the integrationofTunnelingdiodetechnology insidetheembedded architecture
of GPUs is proposed with a RTD switching block. Furthermore, the benefits of proposed integrations are discussed.
Key Words: GPU Caches, Cache Power management, StaticLeaks,ResonantTunnelingDiodes,NegativeDifferential Resistance,
RTD switching block
1. INTRODUCTION
Graphical Processing Units are used to process imagessincetheirinherentparallel structurebooststheperformanceofparallel
processing algorithms. In recent years, GPUs are being utilized for many general purpose computing applications of cloud
computing, machine learning, and other cost-effective High-Performance Computing(HPC) clusters.[1] In this era of green
computing, there has been a shift of focus in producing more high performance- energy efficient results than just achieving
highest peak performance. Achieving energy efficiency has become important in the design of all range of processors, such as
battery-driven portable devices, desktop or server processors to supercomputers.[2] The efforts to achieve this dual goal of
performance and energy efficiency, researchers have suggested various architectural modifications across different
components of the processor, such as processor core, caches, DRAM (dynamic random access memory) etc. Techniques like
power grating, DTCMOS have been applied to reduce static power leaks and cache-decay, drowsy cache has been employedto
reduce dynamic power leaks.[3] However, researchersfacethechallengeofscalingthe devices withCMOStechnologysincethe
leakage currents increase significantly with the decrease in the thickness of the gate oxide. The increase of subthreshold
currents is explained by thermodynamics, more specifically by Boltzmanndistribution.[4]Theproblemofpowerconsumption
with CMOS technology gets worse with each generation of processors. Theestimates ofInternational Technology Roadmapfor
Semiconductors (ITRS) indicates that leakage power consumption could become a major threat for the survival of CMOS
technology.[5] The competition in the development of GPUs and harnessing its computing power has driven companies to
increase the number of processing cores on the processors and their number will continue to rise. Furthermore, to bridge the
gap between the speed of the processor and main memory, thecachesoflargersizesarebeingembeddedonthechip.[6]Tables
1 and 2 list the cache memory requirements of current designs for different processors and fraction of energy consumed in
cache power out of total power consumption. In this paper, I focus on static energy leaksofGPUsthatiscontributedbyexisting
CMOS technology and propose to replace it by Tunneling Diode technology. Furthermore, I try to elaborate on the benefits of
this upgrade in cache power management of GPUs. GPUs are yet to realize their full computing potential. Since energy
management is one of the biggest challenges to overcome in the processor industry, our solution provides a new approach to
this problem of excessive energy consumption in processor chips.
Table-1: On-chip cache memory size in the latest generations Processors.
Processor Type Cache Memory Used
Desktop (CPU, GPU) 8 MB
Server Cores 24-32 MB
Mobile Processors 1 MB
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056
Volume: 05 Issue: 11 | Nov 2018 www.irjet.net p-ISSN: 2395-0072
© 2018, IRJET | Impact Factor value: 7.211 | ISO 9001:2008 Certified Journal | Page 1022
Table-2: Power consumption of on-chip caches.
2. CACHE POWER MANAGEMENT IN GPUs
The power consumption of CMOS circuits is mainly classified in two parts, namely dynamic power (also called active power)
and leakage power (also called static power).
The mathematical modelling equations for both dynamic and leakage Power are given as the following
Here, dynamic and leakage power can also be written as active and static power respectively. Dynamic Power is dependent on
activity factor(number of cache accesses or number of bits accessed per cache access), effective capacitance, frequency of the
operation and voltage supplied. Static Power is dependent upon supply voltage and leakage currents, thus its consumption can
be reduced by decreasing either of these factors. Modern processors incorporate multi-level cache hierarchy with L1, L2, L3
caches. L1 is classified as First Level Caches (FLCs) and L2, L3 etc. are Lower Level Caches(LLCs).
First Level caches have higher dynamic power consumption rates and Lower Level Caches have higher leakage power
consumption rates. Since the design of FLCs and LLCs incorporates latency of caches differently in each of them. Techniques
developed to improve energy efficiency with Cache includesdevelopingahigh-impedancepath,sothatitcanturn“off”thecache
line when they hold data not likely to be reused. The period of non-usage of caches is referred to as “dead time”. The technique
used to predict “dead time” is cache decay which incorporates a counter to keep the count of pre-set cycles since its last usage.
[7]
3. RESONANT TUNNELING DIODE AND INTEGRATION OF RTD SWTICHING BLOCK IN GPU
3.1 Resonant Tunneling Diode(RTD)
Resonant Tunneling Diode(RTD), a variant of Tunneling Diode, invented by Esaki in 1957, is one of the most promising
quantum effect devices that are operational at room temperature. These diodes produce enhanced quantum tunneling effect,
which in turn produces very high-speed currents, which can be fine-tuned. Resonant Tunneling Diodes similar to CMOS
transistor turns “on”, conducts a current under a specific external bias voltage range.
The inherent difference between these two devices is that current in RTDs tunnelsthroughquasi-boundstateswithina double
barrier structure, instead of going through a channel betweendrainandsource.InotherTunnelingDiodeslikeEsakiTunneling
Diodes current tunnels through depletion region. Shown in the Fig. 1 is the IV characteristic curve of RTDs. The figure
represents the dynamic voltage vs current behavior.
The peak current occurs at the resonance at a specific voltage, then the device exhibits Negative Differential Resistance(NDR)
as the current continues to decrease with the increasing voltage and as it reaches a minimum “valley” current at a specific
voltage it starts to rise again. This minimum “valley” current canbeclassifiedasleakagecurrentis significantlylessthaninTDs.
The bistability of RTDs gives them an advantage over TDs, since TD’sproduceveryhigh leakagecurrentswhenthereverse bias
voltage is applied.
Processor Name Percentage of Total Power Consumption
Alpha 21264 16%
StrongARM 30%
Niagra,Niagra-2 L-2 Caches 24%
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056
Volume: 05 Issue: 11 | Nov 2018 www.irjet.net p-ISSN: 2395-0072
© 2018, IRJET | Impact Factor value: 7.211 | ISO 9001:2008 Certified Journal | Page 1023
Figure-1: I-V characteristic of RTDs. Leakage currents are kept to a minimum due to the resonance and
bistability of the device, therefore providing advantage over TDs.
3.2 Applications of Negative Differential Resistance(NDR) in High-Switching Blocks
A huge advantage of RTDs is the ease of their integration with other technologies like complementary metal-oxide-
semiconductor (CMOS) and high electron mobility transistors (HEMTs). We can utilize the Negative Differential Resistanceof
the RTDs and create a switching block that will switch off and on at the application of specific voltages. This switching block
gives two operational points to switch the device on and off as shown in Figure. 2
These RTDs, resistor and CMOS blocks can provide high speed switching method for cache lines. [8] This provides smooth
integration that can work in tandem with cache decay technique. The counter will indicate when the cache line should be
deactivated, sending the high voltage signal to RTD, RTD will enter NDR region and turn “off” Cache line, hence implementing
cache decay. But due to this method, we could easily reactivate and switch back to low-impedance path way for cache line.
Figure-2: NDR property of RTD allows two stable operation points for switching.
The advantage of using NDR to turn on Cache lines lies in its low static power consumption since the leakage currents in RTDs
are minimal and the switching speeds achieved are in picoseconds.
3.2 Execution of Cache Decay by utilizing Negative Differential Resistance(NDR)
Cache line decay technique as proposed by Kaxiras Et al. [7] utilizes a pre-set counter to count a number of inactive cycles of
cache line and cuts-off that particular line thus dramatically saving leakage power. In thisimplementationcut-offsignal will be
sent to switching block a cycle earlier than proposed in Kraxis model.[7] Kraxis model utilizes gated Vdd CMOS transistor as a
switch as proposed by Powell Et al.,2000.[8]
Switching block of RTD will provide a stable point to cut-off power supply to cache line. Implementation of the block as
demonstrated in Figure 3 will provide a switch that will turn off at a certain input voltageandwill resumeoperation,according
to output Voltage.
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056
Volume: 05 Issue: 11 | Nov 2018 www.irjet.net p-ISSN: 2395-0072
© 2018, IRJET | Impact Factor value: 7.211 | ISO 9001:2008 Certified Journal | Page 1024
Negative Differential Resistance property of RTD will execute in a manner of capacitance, and switching speed of block is
dependent upon Load voltage, shown in Figure 3 as Vout. Thus, it provides us with a probe to control switching speed of RTD.
Figure 3: (a) RTD- switching Block with CMOS transistor and Vout as a probe to control switching speed. (b)
Demonstration of RTD-block as capacitance and current source
4. CONCLUSION
The integration of RTD based structure in SRAMs will be beneficial on many accounts. The proposed utilization of NDR for
cache decay technique to reduce power will speed up the operation and therefore not compromise the performance of GPUs.
The leakage current can be maintained at very low levels and hence reducing leakage power substantially. Hence, I have
proposed the integration of RTDs with CMOS to improve the power efficiency of caches in GPUs and discussed the benefits of
utilizing Negative Differential Resistance(NDR) in reducing total power consumption of GPUs.
REFERENCES
[1] OLCF, http://www.olcf.ornl.gov/titan/, 2012
[2] S. Murugesan, “Harnessing green IT: Principles and practices,” IT professional, vol. 10, no. 1, pp. 24–33, 2008
[3] D. J. Frank, "The Limits of CMOS Scaling from a Power-ConstrainedTechnologyOptimizationPerspective," Nanohub, 4Oct
06.
[4] “International technology roadmap for semiconductors
(ITRS),”http://www.itrs.net/Links/2011ITRS/2011Chapters/2011ExecSum.pdf, 2011.
[5] Sparsh Mittal, “A Survey of Architectural Techniques For Improving Cache Power Efficiency”.
[6] Stefanos Kaxiras, ”Cache-line Decay: A Mechanism to Reduce Cache Leakage Power”
[7] J. L. Huber, J. Chen,” An RTD/Transistor Switching Block and Its Possible Application in Binary and Ternary Adders”
[8] Powell, M. D. et al. 2000. Gated-Vdd: A Circuit Technique to Reduce Leakage in Deep Submicron Cache
Memories. In Proc. of the Int'l Symp. On Low Power Electronics and Design'00 (2000).
BIOGRAPHY
Richa Sharma received the Bachelors of
Engineering from Netaji SubhasUniversity
of Technology, New Delhi formerly Netaji
Subhas Institute of Technology. Richa is
working on technology intensive areas of
physics research and creating innovation
in educational methods of these subjects.
Currently she works with Society of
AppliedMicrowaveElectronicsEngineering
& Research.

More Related Content

What's hot

Lecture20 asic back_end_design
Lecture20 asic back_end_designLecture20 asic back_end_design
Lecture20 asic back_end_design
Hung Nguyen
 
Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift Register
Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift RegisterArea Efficient Pulsed Clock Generator Using Pulsed Latch Shift Register
Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift Register
IJMTST Journal
 
IRJET- Low Power Adder and Multiplier Circuits Design Optimization in VLSI
IRJET- Low Power Adder and Multiplier Circuits Design Optimization in VLSIIRJET- Low Power Adder and Multiplier Circuits Design Optimization in VLSI
IRJET- Low Power Adder and Multiplier Circuits Design Optimization in VLSI
IRJET Journal
 
ECE561_finalProject
ECE561_finalProjectECE561_finalProject
ECE561_finalProjectvipin8055
 
Dual technique of reconfiguration and capacitor placement for distribution sy...
Dual technique of reconfiguration and capacitor placement for distribution sy...Dual technique of reconfiguration and capacitor placement for distribution sy...
Dual technique of reconfiguration and capacitor placement for distribution sy...
IJECEIAES
 
Design of Continuous Time Multibit Sigma Delta ADC for Next Generation Wirele...
Design of Continuous Time Multibit Sigma Delta ADC for Next Generation Wirele...Design of Continuous Time Multibit Sigma Delta ADC for Next Generation Wirele...
Design of Continuous Time Multibit Sigma Delta ADC for Next Generation Wirele...
IJERA Editor
 
Energy efficient and high speed domino logic circuits
Energy efficient and high speed domino logic circuitsEnergy efficient and high speed domino logic circuits
Energy efficient and high speed domino logic circuits
IJERA Editor
 
Hx3313651367
Hx3313651367Hx3313651367
Hx3313651367
IJERA Editor
 
Low power embedded system design
Low power embedded system designLow power embedded system design
Low power embedded system design
Sri Manakula Vinayagar Engineering College
 
Modified digital space vector pulse width modulation realization on low-cost ...
Modified digital space vector pulse width modulation realization on low-cost ...Modified digital space vector pulse width modulation realization on low-cost ...
Modified digital space vector pulse width modulation realization on low-cost ...
IJECEIAES
 
Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...
Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...
Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...
VLSICS Design
 
IRJET- Single Switched Capacitor High Gain Boost Quasi-Z Source Converter
IRJET- Single Switched Capacitor High Gain Boost Quasi-Z Source ConverterIRJET- Single Switched Capacitor High Gain Boost Quasi-Z Source Converter
IRJET- Single Switched Capacitor High Gain Boost Quasi-Z Source Converter
IRJET Journal
 
IRJET- Study Over Current Relay (MCGG53) Response using Matlab Model
IRJET- Study Over Current Relay (MCGG53) Response using Matlab ModelIRJET- Study Over Current Relay (MCGG53) Response using Matlab Model
IRJET- Study Over Current Relay (MCGG53) Response using Matlab Model
IRJET Journal
 
A Survey on Low Power VLSI Designs
A Survey on Low Power VLSI Designs A Survey on Low Power VLSI Designs
A Survey on Low Power VLSI Designs
IJEEE
 
A Simulation Based Analysis of Lowering Dynamic Power in a CMOS Inverter
A Simulation Based Analysis of Lowering Dynamic Power in a CMOS InverterA Simulation Based Analysis of Lowering Dynamic Power in a CMOS Inverter
A Simulation Based Analysis of Lowering Dynamic Power in a CMOS Inverter
idescitation
 
Hv2514131415
Hv2514131415Hv2514131415
Hv2514131415
IJERA Editor
 
Fixed Width Replica Redundancy Block Multiplier
Fixed Width Replica Redundancy Block MultiplierFixed Width Replica Redundancy Block Multiplier
Fixed Width Replica Redundancy Block Multiplier
IRJET Journal
 
DUAL EDGE-TRIGGERED D-TYPE FLIP-FLOP WITH LOW POWER CONSUMPTION
DUAL EDGE-TRIGGERED D-TYPE FLIP-FLOP WITH LOW POWER CONSUMPTIONDUAL EDGE-TRIGGERED D-TYPE FLIP-FLOP WITH LOW POWER CONSUMPTION
DUAL EDGE-TRIGGERED D-TYPE FLIP-FLOP WITH LOW POWER CONSUMPTION
ijcsit
 

What's hot (19)

Lecture20 asic back_end_design
Lecture20 asic back_end_designLecture20 asic back_end_design
Lecture20 asic back_end_design
 
Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift Register
Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift RegisterArea Efficient Pulsed Clock Generator Using Pulsed Latch Shift Register
Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift Register
 
IRJET- Low Power Adder and Multiplier Circuits Design Optimization in VLSI
IRJET- Low Power Adder and Multiplier Circuits Design Optimization in VLSIIRJET- Low Power Adder and Multiplier Circuits Design Optimization in VLSI
IRJET- Low Power Adder and Multiplier Circuits Design Optimization in VLSI
 
ECE561_finalProject
ECE561_finalProjectECE561_finalProject
ECE561_finalProject
 
Dual technique of reconfiguration and capacitor placement for distribution sy...
Dual technique of reconfiguration and capacitor placement for distribution sy...Dual technique of reconfiguration and capacitor placement for distribution sy...
Dual technique of reconfiguration and capacitor placement for distribution sy...
 
Design of Continuous Time Multibit Sigma Delta ADC for Next Generation Wirele...
Design of Continuous Time Multibit Sigma Delta ADC for Next Generation Wirele...Design of Continuous Time Multibit Sigma Delta ADC for Next Generation Wirele...
Design of Continuous Time Multibit Sigma Delta ADC for Next Generation Wirele...
 
Energy efficient and high speed domino logic circuits
Energy efficient and high speed domino logic circuitsEnergy efficient and high speed domino logic circuits
Energy efficient and high speed domino logic circuits
 
Hx3313651367
Hx3313651367Hx3313651367
Hx3313651367
 
Low power embedded system design
Low power embedded system designLow power embedded system design
Low power embedded system design
 
Distribution Automation_2012
Distribution Automation_2012Distribution Automation_2012
Distribution Automation_2012
 
Modified digital space vector pulse width modulation realization on low-cost ...
Modified digital space vector pulse width modulation realization on low-cost ...Modified digital space vector pulse width modulation realization on low-cost ...
Modified digital space vector pulse width modulation realization on low-cost ...
 
Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...
Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...
Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...
 
IRJET- Single Switched Capacitor High Gain Boost Quasi-Z Source Converter
IRJET- Single Switched Capacitor High Gain Boost Quasi-Z Source ConverterIRJET- Single Switched Capacitor High Gain Boost Quasi-Z Source Converter
IRJET- Single Switched Capacitor High Gain Boost Quasi-Z Source Converter
 
IRJET- Study Over Current Relay (MCGG53) Response using Matlab Model
IRJET- Study Over Current Relay (MCGG53) Response using Matlab ModelIRJET- Study Over Current Relay (MCGG53) Response using Matlab Model
IRJET- Study Over Current Relay (MCGG53) Response using Matlab Model
 
A Survey on Low Power VLSI Designs
A Survey on Low Power VLSI Designs A Survey on Low Power VLSI Designs
A Survey on Low Power VLSI Designs
 
A Simulation Based Analysis of Lowering Dynamic Power in a CMOS Inverter
A Simulation Based Analysis of Lowering Dynamic Power in a CMOS InverterA Simulation Based Analysis of Lowering Dynamic Power in a CMOS Inverter
A Simulation Based Analysis of Lowering Dynamic Power in a CMOS Inverter
 
Hv2514131415
Hv2514131415Hv2514131415
Hv2514131415
 
Fixed Width Replica Redundancy Block Multiplier
Fixed Width Replica Redundancy Block MultiplierFixed Width Replica Redundancy Block Multiplier
Fixed Width Replica Redundancy Block Multiplier
 
DUAL EDGE-TRIGGERED D-TYPE FLIP-FLOP WITH LOW POWER CONSUMPTION
DUAL EDGE-TRIGGERED D-TYPE FLIP-FLOP WITH LOW POWER CONSUMPTIONDUAL EDGE-TRIGGERED D-TYPE FLIP-FLOP WITH LOW POWER CONSUMPTION
DUAL EDGE-TRIGGERED D-TYPE FLIP-FLOP WITH LOW POWER CONSUMPTION
 

Similar to IRJET- Proposing a RTD-Based Block for On-Chip GPU Caches to Reduce Static Power Leaks

Analysis Of Power Dissipation Amp Low Power VLSI Chip Design
Analysis Of Power Dissipation  Amp  Low Power VLSI Chip DesignAnalysis Of Power Dissipation  Amp  Low Power VLSI Chip Design
Analysis Of Power Dissipation Amp Low Power VLSI Chip Design
Bryce Nelson
 
Analysis of Power Dissipation & Low Power VLSI Chip Design
Analysis of Power Dissipation & Low Power VLSI Chip DesignAnalysis of Power Dissipation & Low Power VLSI Chip Design
Analysis of Power Dissipation & Low Power VLSI Chip Design
Editor IJMTER
 
IRJET- Reduction of Dark Silicon through Efficient Power Reduction Designing ...
IRJET- Reduction of Dark Silicon through Efficient Power Reduction Designing ...IRJET- Reduction of Dark Silicon through Efficient Power Reduction Designing ...
IRJET- Reduction of Dark Silicon through Efficient Power Reduction Designing ...
IRJET Journal
 
Analysis Of Optimization Techniques For Low Power VLSI Design
Analysis Of Optimization Techniques For Low Power VLSI DesignAnalysis Of Optimization Techniques For Low Power VLSI Design
Analysis Of Optimization Techniques For Low Power VLSI Design
Amy Cernava
 
Low Power Design of Standard Digital Gate Design Using Novel Sleep Transisto...
Low Power Design of Standard Digital Gate Design Using Novel  Sleep Transisto...Low Power Design of Standard Digital Gate Design Using Novel  Sleep Transisto...
Low Power Design of Standard Digital Gate Design Using Novel Sleep Transisto...
IJMER
 
IRJET - Low Power Design for Fast Full Adder
IRJET -  	  Low Power Design for Fast Full AdderIRJET -  	  Low Power Design for Fast Full Adder
IRJET - Low Power Design for Fast Full Adder
IRJET Journal
 
Optimized Design of an Alu Block Using Power Gating Technique
Optimized Design of an Alu Block Using Power Gating TechniqueOptimized Design of an Alu Block Using Power Gating Technique
Optimized Design of an Alu Block Using Power Gating Technique
IJERA Editor
 
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
VLSICS Design
 
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
VLSICS Design
 
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
VLSICS Design
 
IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Th...
IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Th...IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Th...
IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Th...
IRJET Journal
 
FORCED STACK SLEEP TRANSISTOR (FORTRAN): A NEW LEAKAGE CURRENT REDUCTION APPR...
FORCED STACK SLEEP TRANSISTOR (FORTRAN): A NEW LEAKAGE CURRENT REDUCTION APPR...FORCED STACK SLEEP TRANSISTOR (FORTRAN): A NEW LEAKAGE CURRENT REDUCTION APPR...
FORCED STACK SLEEP TRANSISTOR (FORTRAN): A NEW LEAKAGE CURRENT REDUCTION APPR...
VIT-AP University
 
A verilog based simulation methodology for estimating statistical test for th...
A verilog based simulation methodology for estimating statistical test for th...A verilog based simulation methodology for estimating statistical test for th...
A verilog based simulation methodology for estimating statistical test for th...
ijsrd.com
 
Adiabatic technique based low power synchronous counter design
Adiabatic technique based low power synchronous counter  designAdiabatic technique based low power synchronous counter  design
Adiabatic technique based low power synchronous counter design
IJECEIAES
 
IRJET- Fin FET Two Bit Comparator for Low Voltage, Low Power, High Speed and ...
IRJET- Fin FET Two Bit Comparator for Low Voltage, Low Power, High Speed and ...IRJET- Fin FET Two Bit Comparator for Low Voltage, Low Power, High Speed and ...
IRJET- Fin FET Two Bit Comparator for Low Voltage, Low Power, High Speed and ...
IRJET Journal
 
Different Leakage Power Reduction Techniques in SRAM Circuits : A State-of-th...
Different Leakage Power Reduction Techniques in SRAM Circuits : A State-of-th...Different Leakage Power Reduction Techniques in SRAM Circuits : A State-of-th...
Different Leakage Power Reduction Techniques in SRAM Circuits : A State-of-th...
IRJET Journal
 
Extremely Low Power FIR Filter for a Smart Dust Sensor Module
Extremely Low Power FIR Filter for a Smart Dust Sensor ModuleExtremely Low Power FIR Filter for a Smart Dust Sensor Module
Extremely Low Power FIR Filter for a Smart Dust Sensor Module
CSCJournals
 
Optimal Body Biasing Technique for CMOS Tapered Buffer
Optimal Body Biasing Technique for  CMOS Tapered Buffer Optimal Body Biasing Technique for  CMOS Tapered Buffer
Optimal Body Biasing Technique for CMOS Tapered Buffer
IJEEE
 
Ix3416271631
Ix3416271631Ix3416271631
Ix3416271631
IJERA Editor
 
Study and Analysis of Low Power SRAM Memory Array at nano-scaled Technology
Study and Analysis of Low Power SRAM Memory Array at nano-scaled TechnologyStudy and Analysis of Low Power SRAM Memory Array at nano-scaled Technology
Study and Analysis of Low Power SRAM Memory Array at nano-scaled Technology
IRJET Journal
 

Similar to IRJET- Proposing a RTD-Based Block for On-Chip GPU Caches to Reduce Static Power Leaks (20)

Analysis Of Power Dissipation Amp Low Power VLSI Chip Design
Analysis Of Power Dissipation  Amp  Low Power VLSI Chip DesignAnalysis Of Power Dissipation  Amp  Low Power VLSI Chip Design
Analysis Of Power Dissipation Amp Low Power VLSI Chip Design
 
Analysis of Power Dissipation & Low Power VLSI Chip Design
Analysis of Power Dissipation & Low Power VLSI Chip DesignAnalysis of Power Dissipation & Low Power VLSI Chip Design
Analysis of Power Dissipation & Low Power VLSI Chip Design
 
IRJET- Reduction of Dark Silicon through Efficient Power Reduction Designing ...
IRJET- Reduction of Dark Silicon through Efficient Power Reduction Designing ...IRJET- Reduction of Dark Silicon through Efficient Power Reduction Designing ...
IRJET- Reduction of Dark Silicon through Efficient Power Reduction Designing ...
 
Analysis Of Optimization Techniques For Low Power VLSI Design
Analysis Of Optimization Techniques For Low Power VLSI DesignAnalysis Of Optimization Techniques For Low Power VLSI Design
Analysis Of Optimization Techniques For Low Power VLSI Design
 
Low Power Design of Standard Digital Gate Design Using Novel Sleep Transisto...
Low Power Design of Standard Digital Gate Design Using Novel  Sleep Transisto...Low Power Design of Standard Digital Gate Design Using Novel  Sleep Transisto...
Low Power Design of Standard Digital Gate Design Using Novel Sleep Transisto...
 
IRJET - Low Power Design for Fast Full Adder
IRJET -  	  Low Power Design for Fast Full AdderIRJET -  	  Low Power Design for Fast Full Adder
IRJET - Low Power Design for Fast Full Adder
 
Optimized Design of an Alu Block Using Power Gating Technique
Optimized Design of an Alu Block Using Power Gating TechniqueOptimized Design of an Alu Block Using Power Gating Technique
Optimized Design of an Alu Block Using Power Gating Technique
 
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
 
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
 
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
 
IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Th...
IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Th...IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Th...
IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Th...
 
FORCED STACK SLEEP TRANSISTOR (FORTRAN): A NEW LEAKAGE CURRENT REDUCTION APPR...
FORCED STACK SLEEP TRANSISTOR (FORTRAN): A NEW LEAKAGE CURRENT REDUCTION APPR...FORCED STACK SLEEP TRANSISTOR (FORTRAN): A NEW LEAKAGE CURRENT REDUCTION APPR...
FORCED STACK SLEEP TRANSISTOR (FORTRAN): A NEW LEAKAGE CURRENT REDUCTION APPR...
 
A verilog based simulation methodology for estimating statistical test for th...
A verilog based simulation methodology for estimating statistical test for th...A verilog based simulation methodology for estimating statistical test for th...
A verilog based simulation methodology for estimating statistical test for th...
 
Adiabatic technique based low power synchronous counter design
Adiabatic technique based low power synchronous counter  designAdiabatic technique based low power synchronous counter  design
Adiabatic technique based low power synchronous counter design
 
IRJET- Fin FET Two Bit Comparator for Low Voltage, Low Power, High Speed and ...
IRJET- Fin FET Two Bit Comparator for Low Voltage, Low Power, High Speed and ...IRJET- Fin FET Two Bit Comparator for Low Voltage, Low Power, High Speed and ...
IRJET- Fin FET Two Bit Comparator for Low Voltage, Low Power, High Speed and ...
 
Different Leakage Power Reduction Techniques in SRAM Circuits : A State-of-th...
Different Leakage Power Reduction Techniques in SRAM Circuits : A State-of-th...Different Leakage Power Reduction Techniques in SRAM Circuits : A State-of-th...
Different Leakage Power Reduction Techniques in SRAM Circuits : A State-of-th...
 
Extremely Low Power FIR Filter for a Smart Dust Sensor Module
Extremely Low Power FIR Filter for a Smart Dust Sensor ModuleExtremely Low Power FIR Filter for a Smart Dust Sensor Module
Extremely Low Power FIR Filter for a Smart Dust Sensor Module
 
Optimal Body Biasing Technique for CMOS Tapered Buffer
Optimal Body Biasing Technique for  CMOS Tapered Buffer Optimal Body Biasing Technique for  CMOS Tapered Buffer
Optimal Body Biasing Technique for CMOS Tapered Buffer
 
Ix3416271631
Ix3416271631Ix3416271631
Ix3416271631
 
Study and Analysis of Low Power SRAM Memory Array at nano-scaled Technology
Study and Analysis of Low Power SRAM Memory Array at nano-scaled TechnologyStudy and Analysis of Low Power SRAM Memory Array at nano-scaled Technology
Study and Analysis of Low Power SRAM Memory Array at nano-scaled Technology
 

More from IRJET Journal

TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
IRJET Journal
 
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURESTUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
IRJET Journal
 
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
IRJET Journal
 
Effect of Camber and Angles of Attack on Airfoil Characteristics
Effect of Camber and Angles of Attack on Airfoil CharacteristicsEffect of Camber and Angles of Attack on Airfoil Characteristics
Effect of Camber and Angles of Attack on Airfoil Characteristics
IRJET Journal
 
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
IRJET Journal
 
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
IRJET Journal
 
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
IRJET Journal
 
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
IRJET Journal
 
A REVIEW ON MACHINE LEARNING IN ADAS
A REVIEW ON MACHINE LEARNING IN ADASA REVIEW ON MACHINE LEARNING IN ADAS
A REVIEW ON MACHINE LEARNING IN ADAS
IRJET Journal
 
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
IRJET Journal
 
P.E.B. Framed Structure Design and Analysis Using STAAD Pro
P.E.B. Framed Structure Design and Analysis Using STAAD ProP.E.B. Framed Structure Design and Analysis Using STAAD Pro
P.E.B. Framed Structure Design and Analysis Using STAAD Pro
IRJET Journal
 
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
IRJET Journal
 
Survey Paper on Cloud-Based Secured Healthcare System
Survey Paper on Cloud-Based Secured Healthcare SystemSurvey Paper on Cloud-Based Secured Healthcare System
Survey Paper on Cloud-Based Secured Healthcare System
IRJET Journal
 
Review on studies and research on widening of existing concrete bridges
Review on studies and research on widening of existing concrete bridgesReview on studies and research on widening of existing concrete bridges
Review on studies and research on widening of existing concrete bridges
IRJET Journal
 
React based fullstack edtech web application
React based fullstack edtech web applicationReact based fullstack edtech web application
React based fullstack edtech web application
IRJET Journal
 
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
IRJET Journal
 
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
IRJET Journal
 
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
IRJET Journal
 
Multistoried and Multi Bay Steel Building Frame by using Seismic Design
Multistoried and Multi Bay Steel Building Frame by using Seismic DesignMultistoried and Multi Bay Steel Building Frame by using Seismic Design
Multistoried and Multi Bay Steel Building Frame by using Seismic Design
IRJET Journal
 
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
IRJET Journal
 

More from IRJET Journal (20)

TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
 
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURESTUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
 
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
 
Effect of Camber and Angles of Attack on Airfoil Characteristics
Effect of Camber and Angles of Attack on Airfoil CharacteristicsEffect of Camber and Angles of Attack on Airfoil Characteristics
Effect of Camber and Angles of Attack on Airfoil Characteristics
 
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
 
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
 
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
 
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
 
A REVIEW ON MACHINE LEARNING IN ADAS
A REVIEW ON MACHINE LEARNING IN ADASA REVIEW ON MACHINE LEARNING IN ADAS
A REVIEW ON MACHINE LEARNING IN ADAS
 
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
 
P.E.B. Framed Structure Design and Analysis Using STAAD Pro
P.E.B. Framed Structure Design and Analysis Using STAAD ProP.E.B. Framed Structure Design and Analysis Using STAAD Pro
P.E.B. Framed Structure Design and Analysis Using STAAD Pro
 
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
 
Survey Paper on Cloud-Based Secured Healthcare System
Survey Paper on Cloud-Based Secured Healthcare SystemSurvey Paper on Cloud-Based Secured Healthcare System
Survey Paper on Cloud-Based Secured Healthcare System
 
Review on studies and research on widening of existing concrete bridges
Review on studies and research on widening of existing concrete bridgesReview on studies and research on widening of existing concrete bridges
Review on studies and research on widening of existing concrete bridges
 
React based fullstack edtech web application
React based fullstack edtech web applicationReact based fullstack edtech web application
React based fullstack edtech web application
 
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
 
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
 
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
 
Multistoried and Multi Bay Steel Building Frame by using Seismic Design
Multistoried and Multi Bay Steel Building Frame by using Seismic DesignMultistoried and Multi Bay Steel Building Frame by using Seismic Design
Multistoried and Multi Bay Steel Building Frame by using Seismic Design
 
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
 

Recently uploaded

Top 10 Oil and Gas Projects in Saudi Arabia 2024.pdf
Top 10 Oil and Gas Projects in Saudi Arabia 2024.pdfTop 10 Oil and Gas Projects in Saudi Arabia 2024.pdf
Top 10 Oil and Gas Projects in Saudi Arabia 2024.pdf
Teleport Manpower Consultant
 
Investor-Presentation-Q1FY2024 investor presentation document.pptx
Investor-Presentation-Q1FY2024 investor presentation document.pptxInvestor-Presentation-Q1FY2024 investor presentation document.pptx
Investor-Presentation-Q1FY2024 investor presentation document.pptx
AmarGB2
 
Hierarchical Digital Twin of a Naval Power System
Hierarchical Digital Twin of a Naval Power SystemHierarchical Digital Twin of a Naval Power System
Hierarchical Digital Twin of a Naval Power System
Kerry Sado
 
Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...
Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...
Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...
AJAYKUMARPUND1
 
一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理
一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理
一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理
ydteq
 
Standard Reomte Control Interface - Neometrix
Standard Reomte Control Interface - NeometrixStandard Reomte Control Interface - Neometrix
Standard Reomte Control Interface - Neometrix
Neometrix_Engineering_Pvt_Ltd
 
Nuclear Power Economics and Structuring 2024
Nuclear Power Economics and Structuring 2024Nuclear Power Economics and Structuring 2024
Nuclear Power Economics and Structuring 2024
Massimo Talia
 
Immunizing Image Classifiers Against Localized Adversary Attacks
Immunizing Image Classifiers Against Localized Adversary AttacksImmunizing Image Classifiers Against Localized Adversary Attacks
Immunizing Image Classifiers Against Localized Adversary Attacks
gerogepatton
 
CME397 Surface Engineering- Professional Elective
CME397 Surface Engineering- Professional ElectiveCME397 Surface Engineering- Professional Elective
CME397 Surface Engineering- Professional Elective
karthi keyan
 
weather web application report.pdf
weather web application report.pdfweather web application report.pdf
weather web application report.pdf
Pratik Pawar
 
ethical hacking-mobile hacking methods.ppt
ethical hacking-mobile hacking methods.pptethical hacking-mobile hacking methods.ppt
ethical hacking-mobile hacking methods.ppt
Jayaprasanna4
 
Sachpazis:Terzaghi Bearing Capacity Estimation in simple terms with Calculati...
Sachpazis:Terzaghi Bearing Capacity Estimation in simple terms with Calculati...Sachpazis:Terzaghi Bearing Capacity Estimation in simple terms with Calculati...
Sachpazis:Terzaghi Bearing Capacity Estimation in simple terms with Calculati...
Dr.Costas Sachpazis
 
ethical hacking in wireless-hacking1.ppt
ethical hacking in wireless-hacking1.pptethical hacking in wireless-hacking1.ppt
ethical hacking in wireless-hacking1.ppt
Jayaprasanna4
 
DESIGN A COTTON SEED SEPARATION MACHINE.docx
DESIGN A COTTON SEED SEPARATION MACHINE.docxDESIGN A COTTON SEED SEPARATION MACHINE.docx
DESIGN A COTTON SEED SEPARATION MACHINE.docx
FluxPrime1
 
AKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdf
AKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdfAKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdf
AKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdf
SamSarthak3
 
一比一原版(IIT毕业证)伊利诺伊理工大学毕业证成绩单专业办理
一比一原版(IIT毕业证)伊利诺伊理工大学毕业证成绩单专业办理一比一原版(IIT毕业证)伊利诺伊理工大学毕业证成绩单专业办理
一比一原版(IIT毕业证)伊利诺伊理工大学毕业证成绩单专业办理
zwunae
 
road safety engineering r s e unit 3.pdf
road safety engineering  r s e unit 3.pdfroad safety engineering  r s e unit 3.pdf
road safety engineering r s e unit 3.pdf
VENKATESHvenky89705
 
MCQ Soil mechanics questions (Soil shear strength).pdf
MCQ Soil mechanics questions (Soil shear strength).pdfMCQ Soil mechanics questions (Soil shear strength).pdf
MCQ Soil mechanics questions (Soil shear strength).pdf
Osamah Alsalih
 
ASME IX(9) 2007 Full Version .pdf
ASME IX(9)  2007 Full Version       .pdfASME IX(9)  2007 Full Version       .pdf
ASME IX(9) 2007 Full Version .pdf
AhmedHussein950959
 
Planning Of Procurement o different goods and services
Planning Of Procurement o different goods and servicesPlanning Of Procurement o different goods and services
Planning Of Procurement o different goods and services
JoytuBarua2
 

Recently uploaded (20)

Top 10 Oil and Gas Projects in Saudi Arabia 2024.pdf
Top 10 Oil and Gas Projects in Saudi Arabia 2024.pdfTop 10 Oil and Gas Projects in Saudi Arabia 2024.pdf
Top 10 Oil and Gas Projects in Saudi Arabia 2024.pdf
 
Investor-Presentation-Q1FY2024 investor presentation document.pptx
Investor-Presentation-Q1FY2024 investor presentation document.pptxInvestor-Presentation-Q1FY2024 investor presentation document.pptx
Investor-Presentation-Q1FY2024 investor presentation document.pptx
 
Hierarchical Digital Twin of a Naval Power System
Hierarchical Digital Twin of a Naval Power SystemHierarchical Digital Twin of a Naval Power System
Hierarchical Digital Twin of a Naval Power System
 
Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...
Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...
Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...
 
一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理
一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理
一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理
 
Standard Reomte Control Interface - Neometrix
Standard Reomte Control Interface - NeometrixStandard Reomte Control Interface - Neometrix
Standard Reomte Control Interface - Neometrix
 
Nuclear Power Economics and Structuring 2024
Nuclear Power Economics and Structuring 2024Nuclear Power Economics and Structuring 2024
Nuclear Power Economics and Structuring 2024
 
Immunizing Image Classifiers Against Localized Adversary Attacks
Immunizing Image Classifiers Against Localized Adversary AttacksImmunizing Image Classifiers Against Localized Adversary Attacks
Immunizing Image Classifiers Against Localized Adversary Attacks
 
CME397 Surface Engineering- Professional Elective
CME397 Surface Engineering- Professional ElectiveCME397 Surface Engineering- Professional Elective
CME397 Surface Engineering- Professional Elective
 
weather web application report.pdf
weather web application report.pdfweather web application report.pdf
weather web application report.pdf
 
ethical hacking-mobile hacking methods.ppt
ethical hacking-mobile hacking methods.pptethical hacking-mobile hacking methods.ppt
ethical hacking-mobile hacking methods.ppt
 
Sachpazis:Terzaghi Bearing Capacity Estimation in simple terms with Calculati...
Sachpazis:Terzaghi Bearing Capacity Estimation in simple terms with Calculati...Sachpazis:Terzaghi Bearing Capacity Estimation in simple terms with Calculati...
Sachpazis:Terzaghi Bearing Capacity Estimation in simple terms with Calculati...
 
ethical hacking in wireless-hacking1.ppt
ethical hacking in wireless-hacking1.pptethical hacking in wireless-hacking1.ppt
ethical hacking in wireless-hacking1.ppt
 
DESIGN A COTTON SEED SEPARATION MACHINE.docx
DESIGN A COTTON SEED SEPARATION MACHINE.docxDESIGN A COTTON SEED SEPARATION MACHINE.docx
DESIGN A COTTON SEED SEPARATION MACHINE.docx
 
AKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdf
AKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdfAKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdf
AKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdf
 
一比一原版(IIT毕业证)伊利诺伊理工大学毕业证成绩单专业办理
一比一原版(IIT毕业证)伊利诺伊理工大学毕业证成绩单专业办理一比一原版(IIT毕业证)伊利诺伊理工大学毕业证成绩单专业办理
一比一原版(IIT毕业证)伊利诺伊理工大学毕业证成绩单专业办理
 
road safety engineering r s e unit 3.pdf
road safety engineering  r s e unit 3.pdfroad safety engineering  r s e unit 3.pdf
road safety engineering r s e unit 3.pdf
 
MCQ Soil mechanics questions (Soil shear strength).pdf
MCQ Soil mechanics questions (Soil shear strength).pdfMCQ Soil mechanics questions (Soil shear strength).pdf
MCQ Soil mechanics questions (Soil shear strength).pdf
 
ASME IX(9) 2007 Full Version .pdf
ASME IX(9)  2007 Full Version       .pdfASME IX(9)  2007 Full Version       .pdf
ASME IX(9) 2007 Full Version .pdf
 
Planning Of Procurement o different goods and services
Planning Of Procurement o different goods and servicesPlanning Of Procurement o different goods and services
Planning Of Procurement o different goods and services
 

IRJET- Proposing a RTD-Based Block for On-Chip GPU Caches to Reduce Static Power Leaks

  • 1. International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056 Volume: 05 Issue: 11 | Nov 2018 www.irjet.net p-ISSN: 2395-0072 © 2018, IRJET | Impact Factor value: 7.211 | ISO 9001:2008 Certified Journal | Page 1021 Proposing a RTD-based block for on-chip GPU caches to reduce static power leaks Richa Sharma Netaji Subhas University of Technology, New Delhi, 110078 ----------------------------------------------------------------------***--------------------------------------------------------------------- Abstract - GPUs dominate the industry in the parallel processing. The architecture of GPUs supports parallel algorithms and process images at much higher rates than CPUs. Each generation of GPUs increases the use of on-chip caches and number of processor cores embedded on-chip. Also, with each generation CMOS technology gets significantly worse in its leakage energy consumption. This paper addresses the issues such energy leaks can cause in the efficiency of GPU computing. Itfurtherdelvesinto Cache Power Management for GPUs. In this paper, the integrationofTunnelingdiodetechnology insidetheembedded architecture of GPUs is proposed with a RTD switching block. Furthermore, the benefits of proposed integrations are discussed. Key Words: GPU Caches, Cache Power management, StaticLeaks,ResonantTunnelingDiodes,NegativeDifferential Resistance, RTD switching block 1. INTRODUCTION Graphical Processing Units are used to process imagessincetheirinherentparallel structurebooststheperformanceofparallel processing algorithms. In recent years, GPUs are being utilized for many general purpose computing applications of cloud computing, machine learning, and other cost-effective High-Performance Computing(HPC) clusters.[1] In this era of green computing, there has been a shift of focus in producing more high performance- energy efficient results than just achieving highest peak performance. Achieving energy efficiency has become important in the design of all range of processors, such as battery-driven portable devices, desktop or server processors to supercomputers.[2] The efforts to achieve this dual goal of performance and energy efficiency, researchers have suggested various architectural modifications across different components of the processor, such as processor core, caches, DRAM (dynamic random access memory) etc. Techniques like power grating, DTCMOS have been applied to reduce static power leaks and cache-decay, drowsy cache has been employedto reduce dynamic power leaks.[3] However, researchersfacethechallengeofscalingthe devices withCMOStechnologysincethe leakage currents increase significantly with the decrease in the thickness of the gate oxide. The increase of subthreshold currents is explained by thermodynamics, more specifically by Boltzmanndistribution.[4]Theproblemofpowerconsumption with CMOS technology gets worse with each generation of processors. Theestimates ofInternational Technology Roadmapfor Semiconductors (ITRS) indicates that leakage power consumption could become a major threat for the survival of CMOS technology.[5] The competition in the development of GPUs and harnessing its computing power has driven companies to increase the number of processing cores on the processors and their number will continue to rise. Furthermore, to bridge the gap between the speed of the processor and main memory, thecachesoflargersizesarebeingembeddedonthechip.[6]Tables 1 and 2 list the cache memory requirements of current designs for different processors and fraction of energy consumed in cache power out of total power consumption. In this paper, I focus on static energy leaksofGPUsthatiscontributedbyexisting CMOS technology and propose to replace it by Tunneling Diode technology. Furthermore, I try to elaborate on the benefits of this upgrade in cache power management of GPUs. GPUs are yet to realize their full computing potential. Since energy management is one of the biggest challenges to overcome in the processor industry, our solution provides a new approach to this problem of excessive energy consumption in processor chips. Table-1: On-chip cache memory size in the latest generations Processors. Processor Type Cache Memory Used Desktop (CPU, GPU) 8 MB Server Cores 24-32 MB Mobile Processors 1 MB
  • 2. International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056 Volume: 05 Issue: 11 | Nov 2018 www.irjet.net p-ISSN: 2395-0072 © 2018, IRJET | Impact Factor value: 7.211 | ISO 9001:2008 Certified Journal | Page 1022 Table-2: Power consumption of on-chip caches. 2. CACHE POWER MANAGEMENT IN GPUs The power consumption of CMOS circuits is mainly classified in two parts, namely dynamic power (also called active power) and leakage power (also called static power). The mathematical modelling equations for both dynamic and leakage Power are given as the following Here, dynamic and leakage power can also be written as active and static power respectively. Dynamic Power is dependent on activity factor(number of cache accesses or number of bits accessed per cache access), effective capacitance, frequency of the operation and voltage supplied. Static Power is dependent upon supply voltage and leakage currents, thus its consumption can be reduced by decreasing either of these factors. Modern processors incorporate multi-level cache hierarchy with L1, L2, L3 caches. L1 is classified as First Level Caches (FLCs) and L2, L3 etc. are Lower Level Caches(LLCs). First Level caches have higher dynamic power consumption rates and Lower Level Caches have higher leakage power consumption rates. Since the design of FLCs and LLCs incorporates latency of caches differently in each of them. Techniques developed to improve energy efficiency with Cache includesdevelopingahigh-impedancepath,sothatitcanturn“off”thecache line when they hold data not likely to be reused. The period of non-usage of caches is referred to as “dead time”. The technique used to predict “dead time” is cache decay which incorporates a counter to keep the count of pre-set cycles since its last usage. [7] 3. RESONANT TUNNELING DIODE AND INTEGRATION OF RTD SWTICHING BLOCK IN GPU 3.1 Resonant Tunneling Diode(RTD) Resonant Tunneling Diode(RTD), a variant of Tunneling Diode, invented by Esaki in 1957, is one of the most promising quantum effect devices that are operational at room temperature. These diodes produce enhanced quantum tunneling effect, which in turn produces very high-speed currents, which can be fine-tuned. Resonant Tunneling Diodes similar to CMOS transistor turns “on”, conducts a current under a specific external bias voltage range. The inherent difference between these two devices is that current in RTDs tunnelsthroughquasi-boundstateswithina double barrier structure, instead of going through a channel betweendrainandsource.InotherTunnelingDiodeslikeEsakiTunneling Diodes current tunnels through depletion region. Shown in the Fig. 1 is the IV characteristic curve of RTDs. The figure represents the dynamic voltage vs current behavior. The peak current occurs at the resonance at a specific voltage, then the device exhibits Negative Differential Resistance(NDR) as the current continues to decrease with the increasing voltage and as it reaches a minimum “valley” current at a specific voltage it starts to rise again. This minimum “valley” current canbeclassifiedasleakagecurrentis significantlylessthaninTDs. The bistability of RTDs gives them an advantage over TDs, since TD’sproduceveryhigh leakagecurrentswhenthereverse bias voltage is applied. Processor Name Percentage of Total Power Consumption Alpha 21264 16% StrongARM 30% Niagra,Niagra-2 L-2 Caches 24%
  • 3. International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056 Volume: 05 Issue: 11 | Nov 2018 www.irjet.net p-ISSN: 2395-0072 © 2018, IRJET | Impact Factor value: 7.211 | ISO 9001:2008 Certified Journal | Page 1023 Figure-1: I-V characteristic of RTDs. Leakage currents are kept to a minimum due to the resonance and bistability of the device, therefore providing advantage over TDs. 3.2 Applications of Negative Differential Resistance(NDR) in High-Switching Blocks A huge advantage of RTDs is the ease of their integration with other technologies like complementary metal-oxide- semiconductor (CMOS) and high electron mobility transistors (HEMTs). We can utilize the Negative Differential Resistanceof the RTDs and create a switching block that will switch off and on at the application of specific voltages. This switching block gives two operational points to switch the device on and off as shown in Figure. 2 These RTDs, resistor and CMOS blocks can provide high speed switching method for cache lines. [8] This provides smooth integration that can work in tandem with cache decay technique. The counter will indicate when the cache line should be deactivated, sending the high voltage signal to RTD, RTD will enter NDR region and turn “off” Cache line, hence implementing cache decay. But due to this method, we could easily reactivate and switch back to low-impedance path way for cache line. Figure-2: NDR property of RTD allows two stable operation points for switching. The advantage of using NDR to turn on Cache lines lies in its low static power consumption since the leakage currents in RTDs are minimal and the switching speeds achieved are in picoseconds. 3.2 Execution of Cache Decay by utilizing Negative Differential Resistance(NDR) Cache line decay technique as proposed by Kaxiras Et al. [7] utilizes a pre-set counter to count a number of inactive cycles of cache line and cuts-off that particular line thus dramatically saving leakage power. In thisimplementationcut-offsignal will be sent to switching block a cycle earlier than proposed in Kraxis model.[7] Kraxis model utilizes gated Vdd CMOS transistor as a switch as proposed by Powell Et al.,2000.[8] Switching block of RTD will provide a stable point to cut-off power supply to cache line. Implementation of the block as demonstrated in Figure 3 will provide a switch that will turn off at a certain input voltageandwill resumeoperation,according to output Voltage.
  • 4. International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056 Volume: 05 Issue: 11 | Nov 2018 www.irjet.net p-ISSN: 2395-0072 © 2018, IRJET | Impact Factor value: 7.211 | ISO 9001:2008 Certified Journal | Page 1024 Negative Differential Resistance property of RTD will execute in a manner of capacitance, and switching speed of block is dependent upon Load voltage, shown in Figure 3 as Vout. Thus, it provides us with a probe to control switching speed of RTD. Figure 3: (a) RTD- switching Block with CMOS transistor and Vout as a probe to control switching speed. (b) Demonstration of RTD-block as capacitance and current source 4. CONCLUSION The integration of RTD based structure in SRAMs will be beneficial on many accounts. The proposed utilization of NDR for cache decay technique to reduce power will speed up the operation and therefore not compromise the performance of GPUs. The leakage current can be maintained at very low levels and hence reducing leakage power substantially. Hence, I have proposed the integration of RTDs with CMOS to improve the power efficiency of caches in GPUs and discussed the benefits of utilizing Negative Differential Resistance(NDR) in reducing total power consumption of GPUs. REFERENCES [1] OLCF, http://www.olcf.ornl.gov/titan/, 2012 [2] S. Murugesan, “Harnessing green IT: Principles and practices,” IT professional, vol. 10, no. 1, pp. 24–33, 2008 [3] D. J. Frank, "The Limits of CMOS Scaling from a Power-ConstrainedTechnologyOptimizationPerspective," Nanohub, 4Oct 06. [4] “International technology roadmap for semiconductors (ITRS),”http://www.itrs.net/Links/2011ITRS/2011Chapters/2011ExecSum.pdf, 2011. [5] Sparsh Mittal, “A Survey of Architectural Techniques For Improving Cache Power Efficiency”. [6] Stefanos Kaxiras, ”Cache-line Decay: A Mechanism to Reduce Cache Leakage Power” [7] J. L. Huber, J. Chen,” An RTD/Transistor Switching Block and Its Possible Application in Binary and Ternary Adders” [8] Powell, M. D. et al. 2000. Gated-Vdd: A Circuit Technique to Reduce Leakage in Deep Submicron Cache Memories. In Proc. of the Int'l Symp. On Low Power Electronics and Design'00 (2000). BIOGRAPHY Richa Sharma received the Bachelors of Engineering from Netaji SubhasUniversity of Technology, New Delhi formerly Netaji Subhas Institute of Technology. Richa is working on technology intensive areas of physics research and creating innovation in educational methods of these subjects. Currently she works with Society of AppliedMicrowaveElectronicsEngineering & Research.