SlideShare a Scribd company logo
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056
Volume: 04 Issue: 07 | July -2017 www.irjet.net p-ISSN: 2395-0072
© 2017, IRJET | Impact Factor value: 5.181 | ISO 9001:2008 Certified Journal | Page 742
Different leakage power reduction techniques in SRAM
Circuits: A State-of-the-art Review
Chetan1, Prachi Chaudhary2, Pawan Kumar Dahiya3
1Scholar of M. Tech. in ECE (VLSI Design), Deenbandhu Chhotu Ram University of Science & Technology,
Sonepat, India
2,3 A.P., ECED, Deenbandhu Chhotu Ram University of Science & Technology, Sonepat, India
--------------------------------------------------------------------------***-------------------------------------------------------------------------
Abstract - In today’s electronic devices, memory is a most
important part that shares a major part of total circuit power.
With the newer technology size of processing data is increasing
which results in increase of memory and overall circuit size.
The stored data is affected by the leakage power. There is a
major power loss due to the leakage current. The leakage
power loss is inversely proportional to size of the circuit which
is undesired. The different leakage power reduction technique
has been developed to overcome this problem. This paper
presents the study of various leakage current in CMOS devices
and the reduction techniques used to overcome this problem. In
this paper a newer technique called lector (Leakage Control
Transistor Technique) is explained.
KEYWORDS: CMOS, (LECTOR) Leakage Control Transistor,
SRAM cell, Sub-threshold, Leakage Current, Threshold
voltage.
1. INRODUCTION
In last few years to accomplish the high performance CMOS
device, scaling is used. As a result of scaling the delay and
area of device are reduced. Additionally, a scaled device also
has low supply voltage, reduced threshold voltage, gate oxide
thickness and channel length which improves the
performance of device. But the minimization of these features
inversely affects the leakage current .as technology is scaled
down, the leakage current becomes comparable with
dynamic power dissipation. As shown in Fig -1. [10]
To reduce the leakage current of CMOS devices various
techniques are used. These techniques are sleep transistor
technique, Forced stack technique, Sleepy stack technique
and the latest technique named as LECTOR technique. These
techniques reduces the leakage by stacking leakage path
using the off transistors. In LECTOR technique the leakage
control transistors are used in between pull-up and pull-
down network. In this technique one leakage control
transistors is always near its cut-off region of operation
without depending on of the input voltage. The Lector follows
the concept that, “a state with more than one transistor in
OFF state in a path between high to low voltage is less leaky
compare to only one transistor OFF between any supply and
ground path”.
Fig-1: Power dissipation vs technologies
2. DIFFERENT LEAKAGE CURRENTS IN MOSFET
DEVICES:
In a CMOS transistor there are two types state of working 1)
Non-conducting 2) Conducting. In non-conducting state,
there are three types of leakage currents which are sub-
thresold leakage current, gate induced drain leakage current
and punch through current.[2] In conducting state two
leakage currents are gate tunnelling current and junction
leakage current. All leakage currents are shown in fig -2.
2.1 DIBL (Drain Induced Barrier Lowering):
As higher voltage is applied on the drain terminal the
depletion region of drain start interfacing with the source
terminal. Due to this the barrier potential between drain and
source is lowered. At this lower potential barrier charge
carriers are injected by source without any effective role of
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056
Volume: 04 Issue: 07 | July -2017 www.irjet.net p-ISSN: 2395-0072
© 2017, IRJET | Impact Factor value: 5.181 | ISO 9001:2008 Certified Journal | Page 743
gate voltage. So, a leakage current flows between source and
drain. It effectively increase the linear region current in the
CMOS.
2.2 Junction reverses bias leakage current:
Junction leakage currents are presents in drain to body and
source to body terminal. These terminals are reversed biased
due to which a PN junction reverse leakage current flows.[4]
2.3 Sub threshold Leakage Current (Weak
inversion):
Weak inversion current is the major contributor to the total
leakage current of source. This current is present between
source and drain when transistor is in weak inversion region
(0 <Vgs <Vth). To make dynamic power dissipation under
control, voltage supplied and the threshold voltage (Vth) has
to be scaled down, to maintain a high drive current
capability. The scaling of Vth results in increasing sub-
threshold leakage currents. This current is composed of drift
and diffusion currents. But the diffusion current is
dominents. Weak inversion current varies exponentially with
Vth and Vgs.[3]
2.4 GIDL (Gate Induced Drain Leakage):
This current leaking is between drain and well or substrate.
At the gate-drain overlap region under the strong electric
field, band to band tunnelling occurs. Due to this tunnelling
effect GIDL current comes into picture Meanwhile, due to the
collection of the electrons in the drain GIDL current (IGIDL)
is produced. The GIDL current increases exponentially due to
the threshold voltage, gate to drain potential and gate oxide
thickness.[6]
Fig - 2: Different leakage currents in CMOS.
3. SURVEY OF LEAKAGE POWER REDUCTION
TECHNIQUES
3.1 Conventional 6T SRAM network in CMOS:
A low power 6T SRAM (fig-3) is designed by using two CMOS
inverters which are cross connected. This topology of SRAM
has very less static power dissipation .At sub-micron scale
the leakage current is the major factor that effects the
performance of SRAM. The output terminals of the CMOS
inverters are used as the internal load lines of cell to store the
data bits. Both lines have the values complimented to each
others value.
Fig – 3: Architecture of SRAM 6-T Cell
3.1.1 Write Operation:
The data bit is written by using the BL line and its inverted
logic value is provided on BLB. The WL line is turned on. The
bit lines overpower the cell with new value. If Q = 0, Q’ = 1
and BL = 1, BL’ = 0. This forces Q to high and Q’ to low. Write
operation is shown in fig-4.
3.1.2 Read Operation:
To read the data from the SRAM cell logic high values are
given to BL and BLB. The WL input is provided a high value to
enable the sharing of charge between lines. BL or BL’ is
pulled down to low depending on Q and Q’. If Q = 0, Q’ = 1, BL
discharges through N2-N1-GND and BL’ stays high. But Q
bumps up slightly. Read operation is explained in fig-5. [5]
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056
Volume: 04 Issue: 07 | July -2017 www.irjet.net p-ISSN: 2395-0072
© 2017, IRJET | Impact Factor value: 5.181 | ISO 9001:2008 Certified Journal | Page 744
Fig – 4: Write operation of SRAM 6-T Cell.
Fig – 5: Read operation of SRAM 6-T Cell
3.2 Sleep Transistor Technique:
This technique is used to isolate the pull-up and pull-down
networks from VDD and GND respectively. By using this
isolation in sleep mode of operation the leakage power is
reduced dramatically. Sleepy transistors are use for this
purpose as shown in Fig-6. But due to the extra circuitry area
and delay of circuit are increased [7].
3.3 Forced Stack Technique:
This technique uses a duplicate transistor for every
transistor in network. Each transistor bears the half of the
original transistor width.[8] In the off state the duplicate
transistor produce a low reverse current from gate to source.
Due to this reverse current overall leakage current reduces
SRAM using force stack technique is shown in fig-7.
Fig - 6: SRAM Using Sleep Transistor Technique.
Fig -7. SRAM Using Forced Stack Transistor Technique.
3.4 Sleepy stack technique:
Sleepy stack technique is the combination of both techniques
sleep transistor and forced stack method. This technique has
lower leakage power dissipation, minimum delay and it also
capable to retain the exact state. The sleep transistor of this
technique works same as the sleep transistor technique.
Sleep transistors are turned on during active mode and off in
the sleep mode.
This method achieves the faster switching than forced stack
method.
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056
Volume: 04 Issue: 07 | July -2017 www.irjet.net p-ISSN: 2395-0072
© 2017, IRJET | Impact Factor value: 5.181 | ISO 9001:2008 Certified Journal | Page 745
Fig - 8: SRAM Using Sleepy Stack Technique.
3.5 LECTOR approach in CMOS Circuit Design:
Lector approach which reduces the leakage is based on the
stacking of transistors between the supply rails. In Lector
technique two leakage control transistors are introduced
between the pull-up and pull-down networks of a SRAM cell.
In this technique one leakage control transistors is always
near its cut-off region of operation without depending on of
the input voltage. [1] The Lector follows the concept that, “a
state with more than one transistor in OFF state in a path
between high to low voltage is less leaky compare to only
one transistor OFF between any supply and ground path”.
Thus by using this technique the path with less leakage from
VDD to GND can be obtained with help to achieve a less
power dissipating circuit.
Fig – 9: LECTOR CMOS SRAM Circuit
CONCLUSION
The main purpose of this paper is to give a brief review of
different steps taken for the reduction of leakage power loss
for VLSI design. In present world of emerging technology the
memory hardware is the most important part of any circuit.
So from fabrication technology a power efficient design is
always expected. The existing technique with LECTOR
approach can be improved in future by fabrication methods
to achieve more improved performance of memory circuit. It
is concluded that this reduction technique will play an
important role in reducing the leakage current.
REFERENCES
[1] Narender Hanchate, Student Member, IEEE, and
Nagarajan Ranganathan, Fellow, IEEE,” LECTOR: A Technique
for Leakage Reduction in CMOS Circuits”.
[2] B. DILIP , P. SURYA PRASAD & R. S. G. BHAVANI,”
LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING
LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN
NANOSCALE TECHNOLOGY”, International Journal of
Electronics Signals and Systems (IJESS) ISSN: 2231- 5969,
Vol-2 Iss-1, 2012.
[3] S.Lakshmi Narayan, Reeba Korah and N.Krishna Kuma, A
Novel Sleepy Stack 6-T SRAM Cell Desigfor Reducing Leakage
Power in Submicron Technologies, International conference
on Communication and Signal Processing, April 3-5, IEEE
2013.
[4] Jyoti Tiwari,” To Reduce the Leakage Power of CMOS
Logic Circuit through Lector Technique”, International
Journal of Emerging Technology and Advanced Engineering
Website: www.ijetae.com (ISSN 2250-2459, ISO 9001:2008
Certified Journal, Volume 5, Issue 11, November 2015).
[5] Sun, S.W. and Tsui, P.G.Y. (1995) Limitation of CMOS
Supply-Voltage Scaling by MOSFET Threshold-Voltage
Variation. IEEE Journal of Solid-State Circuits, 30, 947-949.
[6] Mead, C.A. (1994) Scaling of MOS Technology to
Submicroometer Feature Sizes. Analog Integrated Circuits
and Signal Processing, 6, 9-25
[7]http://www.mpedram.com/Papers/Two-LowPower-
SRAM-cells-TVLSI.pdf G. Razavipour, A. Afzali-Kusha, and M.
Pedram.
[8]http://www.iosrjen.org/Papers/vol2_issue1/X02114514
9.pdf
[9]https://www.irjet.net/archives/V3/i10/IRJET-
V3I10210.pdf
[10]http://www.aosmd.com/res/application_notes/mosfets
/Power_MOSFET_Basics.pdf

More Related Content

What's hot

Hx3313651367
Hx3313651367Hx3313651367
Hx3313651367
IJERA Editor
 
A novel low power high dynamic threshold swing limited repeater insertion for...
A novel low power high dynamic threshold swing limited repeater insertion for...A novel low power high dynamic threshold swing limited repeater insertion for...
A novel low power high dynamic threshold swing limited repeater insertion for...
VLSICS Design
 
Design & Simulation of Half Adder Circuit using AVL Technique Based on CMOS T...
Design & Simulation of Half Adder Circuit using AVL Technique Based on CMOS T...Design & Simulation of Half Adder Circuit using AVL Technique Based on CMOS T...
Design & Simulation of Half Adder Circuit using AVL Technique Based on CMOS T...
IRJET Journal
 
IRJET- Impact of Superconducting Fault Current Limiter on Passive Resonance C...
IRJET- Impact of Superconducting Fault Current Limiter on Passive Resonance C...IRJET- Impact of Superconducting Fault Current Limiter on Passive Resonance C...
IRJET- Impact of Superconducting Fault Current Limiter on Passive Resonance C...
IRJET Journal
 
low pw and leakage current techniques for cmos circuits
low pw and leakage current techniques for cmos circuitslow pw and leakage current techniques for cmos circuits
low pw and leakage current techniques for cmos circuits
Anamika Pancholi
 
Ijetcas14 647
Ijetcas14 647Ijetcas14 647
Ijetcas14 647
Iasir Journals
 
Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...
Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...
Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...
VLSICS Design
 
High performance domino full adder design under different body biased technology
High performance domino full adder design under different body biased technologyHigh performance domino full adder design under different body biased technology
High performance domino full adder design under different body biased technologyIAEME Publication
 
Latest embedded project abstracts
Latest embedded project abstractsLatest embedded project abstracts
Latest embedded project abstracts
Softroniics india
 
ULTRA HIGH SPEED FACTORIAL DESIGN IN SUB-NANOMETER TECHNOLOGY
ULTRA HIGH SPEED FACTORIAL DESIGN IN SUB-NANOMETER TECHNOLOGYULTRA HIGH SPEED FACTORIAL DESIGN IN SUB-NANOMETER TECHNOLOGY
ULTRA HIGH SPEED FACTORIAL DESIGN IN SUB-NANOMETER TECHNOLOGY
cscpconf
 
IRJET- Simulation of 10nm Double Gate MOSFET using Visual TCAD Tool
IRJET-  	  Simulation of 10nm Double Gate MOSFET using Visual TCAD ToolIRJET-  	  Simulation of 10nm Double Gate MOSFET using Visual TCAD Tool
IRJET- Simulation of 10nm Double Gate MOSFET using Visual TCAD Tool
IRJET Journal
 
RTB: BIDIRECTIONAL TRANSCEIVER (ESSCIRC85)
RTB: BIDIRECTIONAL TRANSCEIVER (ESSCIRC85)RTB: BIDIRECTIONAL TRANSCEIVER (ESSCIRC85)
RTB: BIDIRECTIONAL TRANSCEIVER (ESSCIRC85)Piero Belforte
 
Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Co...
Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Co...Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Co...
Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Co...
iosrjce
 
Design and simulation of cmos ota
Design and simulation of cmos otaDesign and simulation of cmos ota
Design and simulation of cmos ota
ijmpict
 
Area Versus Speed Trade-off Analysis of a WiMAX Deinterleaver Circuit Design
Area Versus Speed Trade-off Analysis of a WiMAX Deinterleaver Circuit DesignArea Versus Speed Trade-off Analysis of a WiMAX Deinterleaver Circuit Design
Area Versus Speed Trade-off Analysis of a WiMAX Deinterleaver Circuit Design
ijsrd.com
 
Comparative Performance Analysis of XORXNOR Function Based High-Speed CMOS Fu...
Comparative Performance Analysis of XORXNOR Function Based High-Speed CMOS Fu...Comparative Performance Analysis of XORXNOR Function Based High-Speed CMOS Fu...
Comparative Performance Analysis of XORXNOR Function Based High-Speed CMOS Fu...
VLSICS Design
 
Design of Memory Cell for Low Power Applications
Design of Memory Cell for Low Power ApplicationsDesign of Memory Cell for Low Power Applications
Design of Memory Cell for Low Power Applications
IJERA Editor
 

What's hot (19)

Hx3313651367
Hx3313651367Hx3313651367
Hx3313651367
 
A novel low power high dynamic threshold swing limited repeater insertion for...
A novel low power high dynamic threshold swing limited repeater insertion for...A novel low power high dynamic threshold swing limited repeater insertion for...
A novel low power high dynamic threshold swing limited repeater insertion for...
 
Ms 2304 final
Ms 2304 finalMs 2304 final
Ms 2304 final
 
Design & Simulation of Half Adder Circuit using AVL Technique Based on CMOS T...
Design & Simulation of Half Adder Circuit using AVL Technique Based on CMOS T...Design & Simulation of Half Adder Circuit using AVL Technique Based on CMOS T...
Design & Simulation of Half Adder Circuit using AVL Technique Based on CMOS T...
 
IRJET- Impact of Superconducting Fault Current Limiter on Passive Resonance C...
IRJET- Impact of Superconducting Fault Current Limiter on Passive Resonance C...IRJET- Impact of Superconducting Fault Current Limiter on Passive Resonance C...
IRJET- Impact of Superconducting Fault Current Limiter on Passive Resonance C...
 
low pw and leakage current techniques for cmos circuits
low pw and leakage current techniques for cmos circuitslow pw and leakage current techniques for cmos circuits
low pw and leakage current techniques for cmos circuits
 
Ijetcas14 647
Ijetcas14 647Ijetcas14 647
Ijetcas14 647
 
Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...
Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...
Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...
 
High performance domino full adder design under different body biased technology
High performance domino full adder design under different body biased technologyHigh performance domino full adder design under different body biased technology
High performance domino full adder design under different body biased technology
 
Latest embedded project abstracts
Latest embedded project abstractsLatest embedded project abstracts
Latest embedded project abstracts
 
ULTRA HIGH SPEED FACTORIAL DESIGN IN SUB-NANOMETER TECHNOLOGY
ULTRA HIGH SPEED FACTORIAL DESIGN IN SUB-NANOMETER TECHNOLOGYULTRA HIGH SPEED FACTORIAL DESIGN IN SUB-NANOMETER TECHNOLOGY
ULTRA HIGH SPEED FACTORIAL DESIGN IN SUB-NANOMETER TECHNOLOGY
 
IRJET- Simulation of 10nm Double Gate MOSFET using Visual TCAD Tool
IRJET-  	  Simulation of 10nm Double Gate MOSFET using Visual TCAD ToolIRJET-  	  Simulation of 10nm Double Gate MOSFET using Visual TCAD Tool
IRJET- Simulation of 10nm Double Gate MOSFET using Visual TCAD Tool
 
RTB: BIDIRECTIONAL TRANSCEIVER (ESSCIRC85)
RTB: BIDIRECTIONAL TRANSCEIVER (ESSCIRC85)RTB: BIDIRECTIONAL TRANSCEIVER (ESSCIRC85)
RTB: BIDIRECTIONAL TRANSCEIVER (ESSCIRC85)
 
Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Co...
Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Co...Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Co...
Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Co...
 
Design and simulation of cmos ota
Design and simulation of cmos otaDesign and simulation of cmos ota
Design and simulation of cmos ota
 
Area Versus Speed Trade-off Analysis of a WiMAX Deinterleaver Circuit Design
Area Versus Speed Trade-off Analysis of a WiMAX Deinterleaver Circuit DesignArea Versus Speed Trade-off Analysis of a WiMAX Deinterleaver Circuit Design
Area Versus Speed Trade-off Analysis of a WiMAX Deinterleaver Circuit Design
 
12 low power techniques
12 low power techniques12 low power techniques
12 low power techniques
 
Comparative Performance Analysis of XORXNOR Function Based High-Speed CMOS Fu...
Comparative Performance Analysis of XORXNOR Function Based High-Speed CMOS Fu...Comparative Performance Analysis of XORXNOR Function Based High-Speed CMOS Fu...
Comparative Performance Analysis of XORXNOR Function Based High-Speed CMOS Fu...
 
Design of Memory Cell for Low Power Applications
Design of Memory Cell for Low Power ApplicationsDesign of Memory Cell for Low Power Applications
Design of Memory Cell for Low Power Applications
 

Similar to Different Leakage Power Reduction Techniques in SRAM Circuits : A State-of-the-Art Review

Low Power Design of Standard Digital Gate Design Using Novel Sleep Transisto...
Low Power Design of Standard Digital Gate Design Using Novel  Sleep Transisto...Low Power Design of Standard Digital Gate Design Using Novel  Sleep Transisto...
Low Power Design of Standard Digital Gate Design Using Novel Sleep Transisto...
IJMER
 
Characterization of 6T CMOS SRAM in 65nm and 120nm Technology using Low Power...
Characterization of 6T CMOS SRAM in 65nm and 120nm Technology using Low Power...Characterization of 6T CMOS SRAM in 65nm and 120nm Technology using Low Power...
Characterization of 6T CMOS SRAM in 65nm and 120nm Technology using Low Power...
IRJET Journal
 
High performance low leakage power full subtractor circuit design using rate ...
High performance low leakage power full subtractor circuit design using rate ...High performance low leakage power full subtractor circuit design using rate ...
High performance low leakage power full subtractor circuit design using rate ...
eSAT Publishing House
 
IRJET- A Study on the Leakage Mechanism of Standard 6T Sram Cell
IRJET- A Study on the Leakage Mechanism of Standard 6T Sram CellIRJET- A Study on the Leakage Mechanism of Standard 6T Sram Cell
IRJET- A Study on the Leakage Mechanism of Standard 6T Sram Cell
IRJET Journal
 
Wireless Sensor Network - An Outlook
Wireless Sensor Network - An OutlookWireless Sensor Network - An Outlook
Wireless Sensor Network - An Outlook
IRJET Journal
 
Design and Simulation Of 1.2V to 0.9V, 40ma LDO Using 90nm TSMC Technology
Design and Simulation Of 1.2V to 0.9V, 40ma LDO Using 90nm TSMC TechnologyDesign and Simulation Of 1.2V to 0.9V, 40ma LDO Using 90nm TSMC Technology
Design and Simulation Of 1.2V to 0.9V, 40ma LDO Using 90nm TSMC Technology
IRJET Journal
 
FORCED STACK SLEEP TRANSISTOR (FORTRAN): A NEW LEAKAGE CURRENT REDUCTION APPR...
FORCED STACK SLEEP TRANSISTOR (FORTRAN): A NEW LEAKAGE CURRENT REDUCTION APPR...FORCED STACK SLEEP TRANSISTOR (FORTRAN): A NEW LEAKAGE CURRENT REDUCTION APPR...
FORCED STACK SLEEP TRANSISTOR (FORTRAN): A NEW LEAKAGE CURRENT REDUCTION APPR...
VIT-AP University
 
H010225257
H010225257H010225257
H010225257
IOSR Journals
 
IRJET- An Analysis of CMOS based Low Power 2:4 Decoder at 32nm Node using LEC...
IRJET- An Analysis of CMOS based Low Power 2:4 Decoder at 32nm Node using LEC...IRJET- An Analysis of CMOS based Low Power 2:4 Decoder at 32nm Node using LEC...
IRJET- An Analysis of CMOS based Low Power 2:4 Decoder at 32nm Node using LEC...
IRJET Journal
 
Bc36330333
Bc36330333Bc36330333
Bc36330333
IJERA Editor
 
IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Th...
IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Th...IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Th...
IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Th...
IRJET Journal
 
Delay Optimized Full Adder Design for High Speed VLSI Applications
Delay Optimized Full Adder Design for High Speed VLSI ApplicationsDelay Optimized Full Adder Design for High Speed VLSI Applications
Delay Optimized Full Adder Design for High Speed VLSI Applications
IRJET Journal
 
Comparative analysis of lector and stack technique to reduce the leakage curr...
Comparative analysis of lector and stack technique to reduce the leakage curr...Comparative analysis of lector and stack technique to reduce the leakage curr...
Comparative analysis of lector and stack technique to reduce the leakage curr...
eSAT Journals
 
Design and Implementation of 6t SRAM using FINFET with Low Power Application
Design and Implementation of 6t SRAM using FINFET with Low Power ApplicationDesign and Implementation of 6t SRAM using FINFET with Low Power Application
Design and Implementation of 6t SRAM using FINFET with Low Power Application
IRJET Journal
 
IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...
IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...
IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...
IRJET Journal
 
Back track input vector algorithm for leakage reduction in cmos vlsi digital ...
Back track input vector algorithm for leakage reduction in cmos vlsi digital ...Back track input vector algorithm for leakage reduction in cmos vlsi digital ...
Back track input vector algorithm for leakage reduction in cmos vlsi digital ...
VLSICS Design
 
NOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITS
NOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITSNOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITS
NOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITS
VLSICS Design
 
IRJET- Proposing a RTD-Based Block for On-Chip GPU Caches to Reduce Static Po...
IRJET- Proposing a RTD-Based Block for On-Chip GPU Caches to Reduce Static Po...IRJET- Proposing a RTD-Based Block for On-Chip GPU Caches to Reduce Static Po...
IRJET- Proposing a RTD-Based Block for On-Chip GPU Caches to Reduce Static Po...
IRJET Journal
 
Compact low power high slew-rate cmos buffer amplifier with power gating tech...
Compact low power high slew-rate cmos buffer amplifier with power gating tech...Compact low power high slew-rate cmos buffer amplifier with power gating tech...
Compact low power high slew-rate cmos buffer amplifier with power gating tech...
VLSICS Design
 
Fault Modeling and Parametric Fault Detection in Analog VLSI Circuits using D...
Fault Modeling and Parametric Fault Detection in Analog VLSI Circuits using D...Fault Modeling and Parametric Fault Detection in Analog VLSI Circuits using D...
Fault Modeling and Parametric Fault Detection in Analog VLSI Circuits using D...
IRJET Journal
 

Similar to Different Leakage Power Reduction Techniques in SRAM Circuits : A State-of-the-Art Review (20)

Low Power Design of Standard Digital Gate Design Using Novel Sleep Transisto...
Low Power Design of Standard Digital Gate Design Using Novel  Sleep Transisto...Low Power Design of Standard Digital Gate Design Using Novel  Sleep Transisto...
Low Power Design of Standard Digital Gate Design Using Novel Sleep Transisto...
 
Characterization of 6T CMOS SRAM in 65nm and 120nm Technology using Low Power...
Characterization of 6T CMOS SRAM in 65nm and 120nm Technology using Low Power...Characterization of 6T CMOS SRAM in 65nm and 120nm Technology using Low Power...
Characterization of 6T CMOS SRAM in 65nm and 120nm Technology using Low Power...
 
High performance low leakage power full subtractor circuit design using rate ...
High performance low leakage power full subtractor circuit design using rate ...High performance low leakage power full subtractor circuit design using rate ...
High performance low leakage power full subtractor circuit design using rate ...
 
IRJET- A Study on the Leakage Mechanism of Standard 6T Sram Cell
IRJET- A Study on the Leakage Mechanism of Standard 6T Sram CellIRJET- A Study on the Leakage Mechanism of Standard 6T Sram Cell
IRJET- A Study on the Leakage Mechanism of Standard 6T Sram Cell
 
Wireless Sensor Network - An Outlook
Wireless Sensor Network - An OutlookWireless Sensor Network - An Outlook
Wireless Sensor Network - An Outlook
 
Design and Simulation Of 1.2V to 0.9V, 40ma LDO Using 90nm TSMC Technology
Design and Simulation Of 1.2V to 0.9V, 40ma LDO Using 90nm TSMC TechnologyDesign and Simulation Of 1.2V to 0.9V, 40ma LDO Using 90nm TSMC Technology
Design and Simulation Of 1.2V to 0.9V, 40ma LDO Using 90nm TSMC Technology
 
FORCED STACK SLEEP TRANSISTOR (FORTRAN): A NEW LEAKAGE CURRENT REDUCTION APPR...
FORCED STACK SLEEP TRANSISTOR (FORTRAN): A NEW LEAKAGE CURRENT REDUCTION APPR...FORCED STACK SLEEP TRANSISTOR (FORTRAN): A NEW LEAKAGE CURRENT REDUCTION APPR...
FORCED STACK SLEEP TRANSISTOR (FORTRAN): A NEW LEAKAGE CURRENT REDUCTION APPR...
 
H010225257
H010225257H010225257
H010225257
 
IRJET- An Analysis of CMOS based Low Power 2:4 Decoder at 32nm Node using LEC...
IRJET- An Analysis of CMOS based Low Power 2:4 Decoder at 32nm Node using LEC...IRJET- An Analysis of CMOS based Low Power 2:4 Decoder at 32nm Node using LEC...
IRJET- An Analysis of CMOS based Low Power 2:4 Decoder at 32nm Node using LEC...
 
Bc36330333
Bc36330333Bc36330333
Bc36330333
 
IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Th...
IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Th...IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Th...
IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Th...
 
Delay Optimized Full Adder Design for High Speed VLSI Applications
Delay Optimized Full Adder Design for High Speed VLSI ApplicationsDelay Optimized Full Adder Design for High Speed VLSI Applications
Delay Optimized Full Adder Design for High Speed VLSI Applications
 
Comparative analysis of lector and stack technique to reduce the leakage curr...
Comparative analysis of lector and stack technique to reduce the leakage curr...Comparative analysis of lector and stack technique to reduce the leakage curr...
Comparative analysis of lector and stack technique to reduce the leakage curr...
 
Design and Implementation of 6t SRAM using FINFET with Low Power Application
Design and Implementation of 6t SRAM using FINFET with Low Power ApplicationDesign and Implementation of 6t SRAM using FINFET with Low Power Application
Design and Implementation of 6t SRAM using FINFET with Low Power Application
 
IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...
IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...
IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...
 
Back track input vector algorithm for leakage reduction in cmos vlsi digital ...
Back track input vector algorithm for leakage reduction in cmos vlsi digital ...Back track input vector algorithm for leakage reduction in cmos vlsi digital ...
Back track input vector algorithm for leakage reduction in cmos vlsi digital ...
 
NOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITS
NOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITSNOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITS
NOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITS
 
IRJET- Proposing a RTD-Based Block for On-Chip GPU Caches to Reduce Static Po...
IRJET- Proposing a RTD-Based Block for On-Chip GPU Caches to Reduce Static Po...IRJET- Proposing a RTD-Based Block for On-Chip GPU Caches to Reduce Static Po...
IRJET- Proposing a RTD-Based Block for On-Chip GPU Caches to Reduce Static Po...
 
Compact low power high slew-rate cmos buffer amplifier with power gating tech...
Compact low power high slew-rate cmos buffer amplifier with power gating tech...Compact low power high slew-rate cmos buffer amplifier with power gating tech...
Compact low power high slew-rate cmos buffer amplifier with power gating tech...
 
Fault Modeling and Parametric Fault Detection in Analog VLSI Circuits using D...
Fault Modeling and Parametric Fault Detection in Analog VLSI Circuits using D...Fault Modeling and Parametric Fault Detection in Analog VLSI Circuits using D...
Fault Modeling and Parametric Fault Detection in Analog VLSI Circuits using D...
 

More from IRJET Journal

TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
IRJET Journal
 
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURESTUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
IRJET Journal
 
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
IRJET Journal
 
Effect of Camber and Angles of Attack on Airfoil Characteristics
Effect of Camber and Angles of Attack on Airfoil CharacteristicsEffect of Camber and Angles of Attack on Airfoil Characteristics
Effect of Camber and Angles of Attack on Airfoil Characteristics
IRJET Journal
 
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
IRJET Journal
 
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
IRJET Journal
 
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
IRJET Journal
 
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
IRJET Journal
 
A REVIEW ON MACHINE LEARNING IN ADAS
A REVIEW ON MACHINE LEARNING IN ADASA REVIEW ON MACHINE LEARNING IN ADAS
A REVIEW ON MACHINE LEARNING IN ADAS
IRJET Journal
 
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
IRJET Journal
 
P.E.B. Framed Structure Design and Analysis Using STAAD Pro
P.E.B. Framed Structure Design and Analysis Using STAAD ProP.E.B. Framed Structure Design and Analysis Using STAAD Pro
P.E.B. Framed Structure Design and Analysis Using STAAD Pro
IRJET Journal
 
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
IRJET Journal
 
Survey Paper on Cloud-Based Secured Healthcare System
Survey Paper on Cloud-Based Secured Healthcare SystemSurvey Paper on Cloud-Based Secured Healthcare System
Survey Paper on Cloud-Based Secured Healthcare System
IRJET Journal
 
Review on studies and research on widening of existing concrete bridges
Review on studies and research on widening of existing concrete bridgesReview on studies and research on widening of existing concrete bridges
Review on studies and research on widening of existing concrete bridges
IRJET Journal
 
React based fullstack edtech web application
React based fullstack edtech web applicationReact based fullstack edtech web application
React based fullstack edtech web application
IRJET Journal
 
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
IRJET Journal
 
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
IRJET Journal
 
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
IRJET Journal
 
Multistoried and Multi Bay Steel Building Frame by using Seismic Design
Multistoried and Multi Bay Steel Building Frame by using Seismic DesignMultistoried and Multi Bay Steel Building Frame by using Seismic Design
Multistoried and Multi Bay Steel Building Frame by using Seismic Design
IRJET Journal
 
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
IRJET Journal
 

More from IRJET Journal (20)

TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
 
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURESTUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
 
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
 
Effect of Camber and Angles of Attack on Airfoil Characteristics
Effect of Camber and Angles of Attack on Airfoil CharacteristicsEffect of Camber and Angles of Attack on Airfoil Characteristics
Effect of Camber and Angles of Attack on Airfoil Characteristics
 
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
 
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
 
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
 
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
 
A REVIEW ON MACHINE LEARNING IN ADAS
A REVIEW ON MACHINE LEARNING IN ADASA REVIEW ON MACHINE LEARNING IN ADAS
A REVIEW ON MACHINE LEARNING IN ADAS
 
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
 
P.E.B. Framed Structure Design and Analysis Using STAAD Pro
P.E.B. Framed Structure Design and Analysis Using STAAD ProP.E.B. Framed Structure Design and Analysis Using STAAD Pro
P.E.B. Framed Structure Design and Analysis Using STAAD Pro
 
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
 
Survey Paper on Cloud-Based Secured Healthcare System
Survey Paper on Cloud-Based Secured Healthcare SystemSurvey Paper on Cloud-Based Secured Healthcare System
Survey Paper on Cloud-Based Secured Healthcare System
 
Review on studies and research on widening of existing concrete bridges
Review on studies and research on widening of existing concrete bridgesReview on studies and research on widening of existing concrete bridges
Review on studies and research on widening of existing concrete bridges
 
React based fullstack edtech web application
React based fullstack edtech web applicationReact based fullstack edtech web application
React based fullstack edtech web application
 
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
 
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
 
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
 
Multistoried and Multi Bay Steel Building Frame by using Seismic Design
Multistoried and Multi Bay Steel Building Frame by using Seismic DesignMultistoried and Multi Bay Steel Building Frame by using Seismic Design
Multistoried and Multi Bay Steel Building Frame by using Seismic Design
 
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
 

Recently uploaded

Top 10 Oil and Gas Projects in Saudi Arabia 2024.pdf
Top 10 Oil and Gas Projects in Saudi Arabia 2024.pdfTop 10 Oil and Gas Projects in Saudi Arabia 2024.pdf
Top 10 Oil and Gas Projects in Saudi Arabia 2024.pdf
Teleport Manpower Consultant
 
space technology lecture notes on satellite
space technology lecture notes on satellitespace technology lecture notes on satellite
space technology lecture notes on satellite
ongomchris
 
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&BDesign and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
Sreedhar Chowdam
 
The role of big data in decision making.
The role of big data in decision making.The role of big data in decision making.
The role of big data in decision making.
ankuprajapati0525
 
J.Yang, ICLR 2024, MLILAB, KAIST AI.pdf
J.Yang,  ICLR 2024, MLILAB, KAIST AI.pdfJ.Yang,  ICLR 2024, MLILAB, KAIST AI.pdf
J.Yang, ICLR 2024, MLILAB, KAIST AI.pdf
MLILAB
 
AKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdf
AKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdfAKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdf
AKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdf
SamSarthak3
 
Water Industry Process Automation and Control Monthly - May 2024.pdf
Water Industry Process Automation and Control Monthly - May 2024.pdfWater Industry Process Automation and Control Monthly - May 2024.pdf
Water Industry Process Automation and Control Monthly - May 2024.pdf
Water Industry Process Automation & Control
 
Investor-Presentation-Q1FY2024 investor presentation document.pptx
Investor-Presentation-Q1FY2024 investor presentation document.pptxInvestor-Presentation-Q1FY2024 investor presentation document.pptx
Investor-Presentation-Q1FY2024 investor presentation document.pptx
AmarGB2
 
weather web application report.pdf
weather web application report.pdfweather web application report.pdf
weather web application report.pdf
Pratik Pawar
 
Final project report on grocery store management system..pdf
Final project report on grocery store management system..pdfFinal project report on grocery store management system..pdf
Final project report on grocery store management system..pdf
Kamal Acharya
 
Architectural Portfolio Sean Lockwood
Architectural Portfolio Sean LockwoodArchitectural Portfolio Sean Lockwood
Architectural Portfolio Sean Lockwood
seandesed
 
Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...
Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...
Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...
AJAYKUMARPUND1
 
ASME IX(9) 2007 Full Version .pdf
ASME IX(9)  2007 Full Version       .pdfASME IX(9)  2007 Full Version       .pdf
ASME IX(9) 2007 Full Version .pdf
AhmedHussein950959
 
RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...
RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...
RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...
thanhdowork
 
DESIGN A COTTON SEED SEPARATION MACHINE.docx
DESIGN A COTTON SEED SEPARATION MACHINE.docxDESIGN A COTTON SEED SEPARATION MACHINE.docx
DESIGN A COTTON SEED SEPARATION MACHINE.docx
FluxPrime1
 
ethical hacking in wireless-hacking1.ppt
ethical hacking in wireless-hacking1.pptethical hacking in wireless-hacking1.ppt
ethical hacking in wireless-hacking1.ppt
Jayaprasanna4
 
Cosmetic shop management system project report.pdf
Cosmetic shop management system project report.pdfCosmetic shop management system project report.pdf
Cosmetic shop management system project report.pdf
Kamal Acharya
 
AP LAB PPT.pdf ap lab ppt no title specific
AP LAB PPT.pdf ap lab ppt no title specificAP LAB PPT.pdf ap lab ppt no title specific
AP LAB PPT.pdf ap lab ppt no title specific
BrazilAccount1
 
block diagram and signal flow graph representation
block diagram and signal flow graph representationblock diagram and signal flow graph representation
block diagram and signal flow graph representation
Divya Somashekar
 
Student information management system project report ii.pdf
Student information management system project report ii.pdfStudent information management system project report ii.pdf
Student information management system project report ii.pdf
Kamal Acharya
 

Recently uploaded (20)

Top 10 Oil and Gas Projects in Saudi Arabia 2024.pdf
Top 10 Oil and Gas Projects in Saudi Arabia 2024.pdfTop 10 Oil and Gas Projects in Saudi Arabia 2024.pdf
Top 10 Oil and Gas Projects in Saudi Arabia 2024.pdf
 
space technology lecture notes on satellite
space technology lecture notes on satellitespace technology lecture notes on satellite
space technology lecture notes on satellite
 
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&BDesign and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
 
The role of big data in decision making.
The role of big data in decision making.The role of big data in decision making.
The role of big data in decision making.
 
J.Yang, ICLR 2024, MLILAB, KAIST AI.pdf
J.Yang,  ICLR 2024, MLILAB, KAIST AI.pdfJ.Yang,  ICLR 2024, MLILAB, KAIST AI.pdf
J.Yang, ICLR 2024, MLILAB, KAIST AI.pdf
 
AKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdf
AKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdfAKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdf
AKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdf
 
Water Industry Process Automation and Control Monthly - May 2024.pdf
Water Industry Process Automation and Control Monthly - May 2024.pdfWater Industry Process Automation and Control Monthly - May 2024.pdf
Water Industry Process Automation and Control Monthly - May 2024.pdf
 
Investor-Presentation-Q1FY2024 investor presentation document.pptx
Investor-Presentation-Q1FY2024 investor presentation document.pptxInvestor-Presentation-Q1FY2024 investor presentation document.pptx
Investor-Presentation-Q1FY2024 investor presentation document.pptx
 
weather web application report.pdf
weather web application report.pdfweather web application report.pdf
weather web application report.pdf
 
Final project report on grocery store management system..pdf
Final project report on grocery store management system..pdfFinal project report on grocery store management system..pdf
Final project report on grocery store management system..pdf
 
Architectural Portfolio Sean Lockwood
Architectural Portfolio Sean LockwoodArchitectural Portfolio Sean Lockwood
Architectural Portfolio Sean Lockwood
 
Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...
Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...
Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...
 
ASME IX(9) 2007 Full Version .pdf
ASME IX(9)  2007 Full Version       .pdfASME IX(9)  2007 Full Version       .pdf
ASME IX(9) 2007 Full Version .pdf
 
RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...
RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...
RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...
 
DESIGN A COTTON SEED SEPARATION MACHINE.docx
DESIGN A COTTON SEED SEPARATION MACHINE.docxDESIGN A COTTON SEED SEPARATION MACHINE.docx
DESIGN A COTTON SEED SEPARATION MACHINE.docx
 
ethical hacking in wireless-hacking1.ppt
ethical hacking in wireless-hacking1.pptethical hacking in wireless-hacking1.ppt
ethical hacking in wireless-hacking1.ppt
 
Cosmetic shop management system project report.pdf
Cosmetic shop management system project report.pdfCosmetic shop management system project report.pdf
Cosmetic shop management system project report.pdf
 
AP LAB PPT.pdf ap lab ppt no title specific
AP LAB PPT.pdf ap lab ppt no title specificAP LAB PPT.pdf ap lab ppt no title specific
AP LAB PPT.pdf ap lab ppt no title specific
 
block diagram and signal flow graph representation
block diagram and signal flow graph representationblock diagram and signal flow graph representation
block diagram and signal flow graph representation
 
Student information management system project report ii.pdf
Student information management system project report ii.pdfStudent information management system project report ii.pdf
Student information management system project report ii.pdf
 

Different Leakage Power Reduction Techniques in SRAM Circuits : A State-of-the-Art Review

  • 1. International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056 Volume: 04 Issue: 07 | July -2017 www.irjet.net p-ISSN: 2395-0072 © 2017, IRJET | Impact Factor value: 5.181 | ISO 9001:2008 Certified Journal | Page 742 Different leakage power reduction techniques in SRAM Circuits: A State-of-the-art Review Chetan1, Prachi Chaudhary2, Pawan Kumar Dahiya3 1Scholar of M. Tech. in ECE (VLSI Design), Deenbandhu Chhotu Ram University of Science & Technology, Sonepat, India 2,3 A.P., ECED, Deenbandhu Chhotu Ram University of Science & Technology, Sonepat, India --------------------------------------------------------------------------***------------------------------------------------------------------------- Abstract - In today’s electronic devices, memory is a most important part that shares a major part of total circuit power. With the newer technology size of processing data is increasing which results in increase of memory and overall circuit size. The stored data is affected by the leakage power. There is a major power loss due to the leakage current. The leakage power loss is inversely proportional to size of the circuit which is undesired. The different leakage power reduction technique has been developed to overcome this problem. This paper presents the study of various leakage current in CMOS devices and the reduction techniques used to overcome this problem. In this paper a newer technique called lector (Leakage Control Transistor Technique) is explained. KEYWORDS: CMOS, (LECTOR) Leakage Control Transistor, SRAM cell, Sub-threshold, Leakage Current, Threshold voltage. 1. INRODUCTION In last few years to accomplish the high performance CMOS device, scaling is used. As a result of scaling the delay and area of device are reduced. Additionally, a scaled device also has low supply voltage, reduced threshold voltage, gate oxide thickness and channel length which improves the performance of device. But the minimization of these features inversely affects the leakage current .as technology is scaled down, the leakage current becomes comparable with dynamic power dissipation. As shown in Fig -1. [10] To reduce the leakage current of CMOS devices various techniques are used. These techniques are sleep transistor technique, Forced stack technique, Sleepy stack technique and the latest technique named as LECTOR technique. These techniques reduces the leakage by stacking leakage path using the off transistors. In LECTOR technique the leakage control transistors are used in between pull-up and pull- down network. In this technique one leakage control transistors is always near its cut-off region of operation without depending on of the input voltage. The Lector follows the concept that, “a state with more than one transistor in OFF state in a path between high to low voltage is less leaky compare to only one transistor OFF between any supply and ground path”. Fig-1: Power dissipation vs technologies 2. DIFFERENT LEAKAGE CURRENTS IN MOSFET DEVICES: In a CMOS transistor there are two types state of working 1) Non-conducting 2) Conducting. In non-conducting state, there are three types of leakage currents which are sub- thresold leakage current, gate induced drain leakage current and punch through current.[2] In conducting state two leakage currents are gate tunnelling current and junction leakage current. All leakage currents are shown in fig -2. 2.1 DIBL (Drain Induced Barrier Lowering): As higher voltage is applied on the drain terminal the depletion region of drain start interfacing with the source terminal. Due to this the barrier potential between drain and source is lowered. At this lower potential barrier charge carriers are injected by source without any effective role of
  • 2. International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056 Volume: 04 Issue: 07 | July -2017 www.irjet.net p-ISSN: 2395-0072 © 2017, IRJET | Impact Factor value: 5.181 | ISO 9001:2008 Certified Journal | Page 743 gate voltage. So, a leakage current flows between source and drain. It effectively increase the linear region current in the CMOS. 2.2 Junction reverses bias leakage current: Junction leakage currents are presents in drain to body and source to body terminal. These terminals are reversed biased due to which a PN junction reverse leakage current flows.[4] 2.3 Sub threshold Leakage Current (Weak inversion): Weak inversion current is the major contributor to the total leakage current of source. This current is present between source and drain when transistor is in weak inversion region (0 <Vgs <Vth). To make dynamic power dissipation under control, voltage supplied and the threshold voltage (Vth) has to be scaled down, to maintain a high drive current capability. The scaling of Vth results in increasing sub- threshold leakage currents. This current is composed of drift and diffusion currents. But the diffusion current is dominents. Weak inversion current varies exponentially with Vth and Vgs.[3] 2.4 GIDL (Gate Induced Drain Leakage): This current leaking is between drain and well or substrate. At the gate-drain overlap region under the strong electric field, band to band tunnelling occurs. Due to this tunnelling effect GIDL current comes into picture Meanwhile, due to the collection of the electrons in the drain GIDL current (IGIDL) is produced. The GIDL current increases exponentially due to the threshold voltage, gate to drain potential and gate oxide thickness.[6] Fig - 2: Different leakage currents in CMOS. 3. SURVEY OF LEAKAGE POWER REDUCTION TECHNIQUES 3.1 Conventional 6T SRAM network in CMOS: A low power 6T SRAM (fig-3) is designed by using two CMOS inverters which are cross connected. This topology of SRAM has very less static power dissipation .At sub-micron scale the leakage current is the major factor that effects the performance of SRAM. The output terminals of the CMOS inverters are used as the internal load lines of cell to store the data bits. Both lines have the values complimented to each others value. Fig – 3: Architecture of SRAM 6-T Cell 3.1.1 Write Operation: The data bit is written by using the BL line and its inverted logic value is provided on BLB. The WL line is turned on. The bit lines overpower the cell with new value. If Q = 0, Q’ = 1 and BL = 1, BL’ = 0. This forces Q to high and Q’ to low. Write operation is shown in fig-4. 3.1.2 Read Operation: To read the data from the SRAM cell logic high values are given to BL and BLB. The WL input is provided a high value to enable the sharing of charge between lines. BL or BL’ is pulled down to low depending on Q and Q’. If Q = 0, Q’ = 1, BL discharges through N2-N1-GND and BL’ stays high. But Q bumps up slightly. Read operation is explained in fig-5. [5]
  • 3. International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056 Volume: 04 Issue: 07 | July -2017 www.irjet.net p-ISSN: 2395-0072 © 2017, IRJET | Impact Factor value: 5.181 | ISO 9001:2008 Certified Journal | Page 744 Fig – 4: Write operation of SRAM 6-T Cell. Fig – 5: Read operation of SRAM 6-T Cell 3.2 Sleep Transistor Technique: This technique is used to isolate the pull-up and pull-down networks from VDD and GND respectively. By using this isolation in sleep mode of operation the leakage power is reduced dramatically. Sleepy transistors are use for this purpose as shown in Fig-6. But due to the extra circuitry area and delay of circuit are increased [7]. 3.3 Forced Stack Technique: This technique uses a duplicate transistor for every transistor in network. Each transistor bears the half of the original transistor width.[8] In the off state the duplicate transistor produce a low reverse current from gate to source. Due to this reverse current overall leakage current reduces SRAM using force stack technique is shown in fig-7. Fig - 6: SRAM Using Sleep Transistor Technique. Fig -7. SRAM Using Forced Stack Transistor Technique. 3.4 Sleepy stack technique: Sleepy stack technique is the combination of both techniques sleep transistor and forced stack method. This technique has lower leakage power dissipation, minimum delay and it also capable to retain the exact state. The sleep transistor of this technique works same as the sleep transistor technique. Sleep transistors are turned on during active mode and off in the sleep mode. This method achieves the faster switching than forced stack method.
  • 4. International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056 Volume: 04 Issue: 07 | July -2017 www.irjet.net p-ISSN: 2395-0072 © 2017, IRJET | Impact Factor value: 5.181 | ISO 9001:2008 Certified Journal | Page 745 Fig - 8: SRAM Using Sleepy Stack Technique. 3.5 LECTOR approach in CMOS Circuit Design: Lector approach which reduces the leakage is based on the stacking of transistors between the supply rails. In Lector technique two leakage control transistors are introduced between the pull-up and pull-down networks of a SRAM cell. In this technique one leakage control transistors is always near its cut-off region of operation without depending on of the input voltage. [1] The Lector follows the concept that, “a state with more than one transistor in OFF state in a path between high to low voltage is less leaky compare to only one transistor OFF between any supply and ground path”. Thus by using this technique the path with less leakage from VDD to GND can be obtained with help to achieve a less power dissipating circuit. Fig – 9: LECTOR CMOS SRAM Circuit CONCLUSION The main purpose of this paper is to give a brief review of different steps taken for the reduction of leakage power loss for VLSI design. In present world of emerging technology the memory hardware is the most important part of any circuit. So from fabrication technology a power efficient design is always expected. The existing technique with LECTOR approach can be improved in future by fabrication methods to achieve more improved performance of memory circuit. It is concluded that this reduction technique will play an important role in reducing the leakage current. REFERENCES [1] Narender Hanchate, Student Member, IEEE, and Nagarajan Ranganathan, Fellow, IEEE,” LECTOR: A Technique for Leakage Reduction in CMOS Circuits”. [2] B. DILIP , P. SURYA PRASAD & R. S. G. BHAVANI,” LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY”, International Journal of Electronics Signals and Systems (IJESS) ISSN: 2231- 5969, Vol-2 Iss-1, 2012. [3] S.Lakshmi Narayan, Reeba Korah and N.Krishna Kuma, A Novel Sleepy Stack 6-T SRAM Cell Desigfor Reducing Leakage Power in Submicron Technologies, International conference on Communication and Signal Processing, April 3-5, IEEE 2013. [4] Jyoti Tiwari,” To Reduce the Leakage Power of CMOS Logic Circuit through Lector Technique”, International Journal of Emerging Technology and Advanced Engineering Website: www.ijetae.com (ISSN 2250-2459, ISO 9001:2008 Certified Journal, Volume 5, Issue 11, November 2015). [5] Sun, S.W. and Tsui, P.G.Y. (1995) Limitation of CMOS Supply-Voltage Scaling by MOSFET Threshold-Voltage Variation. IEEE Journal of Solid-State Circuits, 30, 947-949. [6] Mead, C.A. (1994) Scaling of MOS Technology to Submicroometer Feature Sizes. Analog Integrated Circuits and Signal Processing, 6, 9-25 [7]http://www.mpedram.com/Papers/Two-LowPower- SRAM-cells-TVLSI.pdf G. Razavipour, A. Afzali-Kusha, and M. Pedram. [8]http://www.iosrjen.org/Papers/vol2_issue1/X02114514 9.pdf [9]https://www.irjet.net/archives/V3/i10/IRJET- V3I10210.pdf [10]http://www.aosmd.com/res/application_notes/mosfets /Power_MOSFET_Basics.pdf