SlideShare a Scribd company logo
1 of 4
Download to read offline
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056
Volume: 06 Issue: 05 | May 2019 www.irjet.net p-ISSN: 2395-0072
© 2019, IRJET | Impact Factor value: 7.211 | ISO 9001:2008 Certified Journal | Page 4867
Reduction of Power, Leakage and Area of a Standard Cell ASICs using
Threshold Logic Flip Flops and Power Gating Techniques
Karthika Aravind1, Nidiya Habeeb2, Saju A3
1PG Scholar, Dept. Of ECE, MCET, Pathanamthitta, Kerala
2Associate Professor, Dept. of ECE, MCET, Pathanamthitta, Kerala
3Research Scholar, VTU, Karnataka
----------------------------------------------------------------------------------***----------------------------------------------------------------------------------
Abstract - In this paper, a new approach to reduce dynamic power, leakage, and area of standard cell ASICs, without
sacrificing itsperformance isdescribed.The approachisbased on designing a threshold logic gates (TLGs) and its integration
with the conventional standard-cell design flow.Thethreshold gate behaves as a multi-input, single- output, edge triggered
flip-flop,whichcomputesathreshold functionofthe inputson the clockedge.The functionrealized bya giventhresholdgate
is determined by how signals are mapped to its inputs. Here pulsed inputs of varying width are provided at the input. The
resulting circuits, with both conventional and TLGs (called hybrid circuits), are placed and routed using commercial tools.
Here the tool used is Tanner tool v13. The power gating techniques is used along with threshold logic gates to reduce the
leakage and dynamic power dissipation. This power gating method along with TLF improves the area and power of the
ASIC circuits. The proposed circuit is designed in 45nm technology. A significant reduction in power, leakage, and area
of the hybrid circuits when compared with the conventional logic circuits is observed.
Key Words: MTCMOS, Threshold logic Flip flop (TLF), Power Gating Techniques.
1. INTRODUCTION
The demand and popularity of portable electronicsisdriving designers to strive for smaller silicon area, higher speed, longer
battery life and more reliability. Power is one of the premium resource a designer tries to save when designing a system. In
every circuit, power dissipation occurs. It is not possible to eliminate it completely but the amount of dissipation can be
reduced. Conventional ASIC design involves constructing network of CMOS logic gates to implement a given function. Low
power Application specific integratedcircuit(ASIC) design resultsinincreased battery life and reliability enhancement. In this
paper, a threshold logic gate methodology is used along with some power reduction techniques to improve the ASIC
performance.
This methodology is based on the design of threshold logic gates and its integration with conventional standard cell design.
Threshold gate is a multiple input, single output, edge triggered flip flop. This flip flop computes the threshold function of the
inputs on the clock edge. The resulting circuit is a hybrid circuit which is the combination of conventional logic gates and
threshold logic cells. By using this hybrid circuit, the power dissipation can be reduced compared to conventional designs.
First we have analysed the architecture and operation of basic PNAND cell. After that a new approach for computing
threshold is implemented. Finally this new approach is combined with power gating techniques to reduce the dynamic and
leakagepowerdissipationinthecircuit.Sothe final design will have the combination of conventional standardcells(AND,OR,
NAND,NOR)andPNANDcell.
2. THRESHOLDLOGICFLIPFLOP
The fig-1 shows the basic schematic of threshold gate with k- inputs, referred to as PNAND-k cell. The circuit consists of three
parts, namely, input network, sense amplifier and SR latch. The input network is divided into two networks namely Left input
network (LIN) and Rightinputnetwork(RIN).Both these networks arecomplement to each other.
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056
Volume: 06 Issue: 05 | May 2019 www.irjet.net p-ISSN: 2395-0072
© 2019, IRJET | Impact Factor value: 7.211 | ISO 9001:2008 Certified Journal | Page 4868
Fig -1: Basic PNAND cell
In the circuit, CLK is used as the reset pin. If CLK = 0, the circuitwillreset.IfCLKmakesachangefrom0to1,thenthe circuitwill
be enabled. The cell operates in two phases. One is the reset phase and the other is the evaluation phase. When CLK = 0, the
circuit is in reset phase and when CLK changes from 0 to 1, it is in evaluation phase. So here the difference in conductivity
betweenthetwoinputnetworki.e. LIN (Leftinput network)andRIN(Rightinput network)is sensedandamplified.Thegreater
the difference, the faster and more reliably the cell operates. The difference in conductance is mainly determined by the
number of active devices intheinput networks.Hereinthisbasic PNANDcell, asignalassignmentmethodcalledopticalsignal
assignment is used to compute the threshold function of thegiveninputs. In the basic PNAND-k cell, the library of PNAND-k cells
with k = 3,5,7,9 can realize 71 basic functions. By using the OSA method a set of valid threshold functioncan be generatedfor a
given set ofinputs.
3. ANEWAPPROACH FOR TLF
For a threshold gate, here we are using the basic PNAND cell with a different logic method. Instead of using OSA method for
computing the threshold function to realize a given functionality, a pulsed input of varying width is applied to the input
network. This is due to the reason that when the thresholdincreases,thedepletionwidthalsoincreasesand hencetheleakage
decreases and when threshold decreases, the depletion width decreases and therefore leakage increases. So in order to
reduce the leakage, the threshold valueneedtobeincreased.Thiscanbeachievedbyadjusting theaccesstransistorintheinput
networks.Byadjustingthe access transistor, the threshold voltagecan be varied.
4. POWER GATINGTECHNIQUES
Power gating technique is one of the most efficient techniques used to reduce the power consumption. This technique is
used in integrated circuit design to reduce power consumption, byshutting offthecurrent blocksofthe circuit that are not in
use which means it transfers the energytocircuitonlyduringtheactivemodeandnotinthe standby mode.
Thereareanumberofpowergatingtechniquesareexistbut hereinthis paperonlythree suchtechnique is considered, namely
stack, sleep and MTCMOS techniques.
In sleep method the sleep transistor isolate the logic network and hence it reduces the leakage power during the sleepmode.
Theleakagepowerreductioninstacktechnique is achieved by splitting an existing transistor into two half sizetransistors.The
Sleepy Stack Technique joins the Stack and Sleep systems. The current transistors splitted into two half size transistors in the
Sleepy Stack system like as Stack procedure. Between the splitted transistors one of the sleep transistors will be placed in
parallel. The leakage current is suppressed by the stack transistor and saves the state. While the sleep transistor will be off
during the sleep mode. Sleep transistor is placed in parallel to the one of the stacked transistor andin activemodeitreduces
delay&resistance of thepath.
So power gating uses low-leakage PMOS transistors as header switches to shut off power supplies to parts of a circuit in
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056
Volume: 06 Issue: 05 | May 2019 www.irjet.net p-ISSN: 2395-0072
© 2019, IRJET | Impact Factor value: 7.211 | ISO 9001:2008 Certified Journal | Page 4869
standby or sleep mode. NMOS footer switches can also be used as sleep transistors. Inserting the sleep transistors splits the
power network into a permanent power network connectedto thepowersupply anda virtual power network that drives the
cells and can be turned off. Usually a high VT sleep transistors are used for powergating, in a technique also known as
multi-threshold CMOS (MTCMOS). The sizing of sleep transistor is an important design parameter.
4.1. CircuitworkingusingPowergatingtechniques
ThecircuitdiagramincorporatingtheTLFandPowergating techniques is shown inFig-2.
Fig -2: The proposed architecture
The sleep technique is applied at the CLK circuit. Here the header switch conducts only in the active mode which is used to
reduce the leakage power dissipation. Footer switch conducts during the standby mode to reduce the dynamic power
dissipation. By using MTCMOS technique, a boot up voltage is applied to the body bias terminal. It causes the threshold
voltage to increases which helps to reduce the leakage powerdissipation.
5. SIMULATION RESULT
Fig -3: Simulation result for proposed design
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056
Volume: 06 Issue: 05 | May 2019 www.irjet.net p-ISSN: 2395-0072
© 2019, IRJET | Impact Factor value: 7.211 | ISO 9001:2008 Certified Journal | Page 4870
Table -1: Result Comparison
Average power
consumed (mW)
Technology Implemented
Conventional Threshold Logic
Flip
flop
9.665 65
TLF with Power gating
Techniques
2.65 45
6. CONCLUSION
In this paper, a new approach for power reduction in standard cell ASIC using a combination of conventional logic gate,
threshold flip flop and power gating technique is described. It is observed from the output that the new approach
consumes less power compared to the existing design and occupies less area without satisfying the speed. Use of power
reduction techniques in TLF improves the performanceoftheoverallcircuit.Asignificantimprovement in power, leakage and
area were observed. About 73% power reduction is achieved in the proposed design. The proposed design can also be
implementedusingregistersso that more data can be stored. Finfet and CNT can be viewed as the future implantation for this
design for standard cell ASICs.
REFERENCES
[1] Niranjan Kulkarni, Jinghua Yang, Jae-Sun Seo and Sarma Vrudhula, ”Reducing Power, Leakage, and Area of Standard-Cell
ASICs Using Threshold LogicFlip-Flops,” IEEE Trans. Very Large Scale Integration (VLSI) Systems,2016.
[2] N. Kulkarni, N. Nukala, and S. Vrudhula, “Minimizing area and power of sequential CMOS circuits using threshold
decomposition,” in Proc. Int. Conf. Comput.- Aided Design, 2012, pp.605–612.
[3] T. Gowda, S. Vrudhula, N. Kulkarni, and K. Berezowski, “Identification of threshold functions and synthesis of threshold
networks,”IEEETrans.Comput.-AidedDesign Integr. Circuits Syst., vol. 30, no. 5, pp. 665–677,May 2011.
[4] S. Leshner, “Modeling and implementation of threshold logic circuits and architectures,” Ph.D. dissertation, Comput. Sci.,
ArizonaStateUniv.,Tempe,AZ,USA,2010.
[5] Mishchenko, A., S. Cho, S. Chatterjee and R. Brayton, “Combinational and sequential mapping with priority cuts”, in
“Proc. ICCAD’07”, pp. 354–361.
[6] F. Gao and J. P. Hayes , "Total Power Reduction in CMOS Circuits via Gate Sizing and Multiple Threshold Voltages," in Proc.
Design Automation Conference,2005, pp.31-36.
[7] A. Abdollahi, F. Fallah, and M. Pedram, "Leakage Current Reduction in CMOS VLSI Circuits by Input Vector Control," IEEE
Transactions on Very Large Scale Integration(VLSI)Systems,vol.12,no.2,pp.140-154, 2004.
[8] Mishchenko, A., S. Cho, S. Chatterjee and R. Brayton (2007), “Combinational and sequential mapping with priority
cuts”,in“Proc.ICCAD’07”, pp. 354–361.

More Related Content

What's hot

IRJET- A Implementation of High Speed On-Chip Monitoring Circuit by using SAR...
IRJET- A Implementation of High Speed On-Chip Monitoring Circuit by using SAR...IRJET- A Implementation of High Speed On-Chip Monitoring Circuit by using SAR...
IRJET- A Implementation of High Speed On-Chip Monitoring Circuit by using SAR...IRJET Journal
 
Designing of Asynchronous Viterbi Decoder for Low Power Consumption using Han...
Designing of Asynchronous Viterbi Decoder for Low Power Consumption using Han...Designing of Asynchronous Viterbi Decoder for Low Power Consumption using Han...
Designing of Asynchronous Viterbi Decoder for Low Power Consumption using Han...IRJET Journal
 
Dynamic Power Reduction of Digital Circuits by ClockGating
Dynamic Power Reduction of Digital Circuits by ClockGatingDynamic Power Reduction of Digital Circuits by ClockGating
Dynamic Power Reduction of Digital Circuits by ClockGatingIJERA Editor
 
Design & Simulation of Half Adder Circuit using AVL Technique Based on CMOS T...
Design & Simulation of Half Adder Circuit using AVL Technique Based on CMOS T...Design & Simulation of Half Adder Circuit using AVL Technique Based on CMOS T...
Design & Simulation of Half Adder Circuit using AVL Technique Based on CMOS T...IRJET Journal
 
Implementation and analysis of power reduction in 2 to 4 decoder design using...
Implementation and analysis of power reduction in 2 to 4 decoder design using...Implementation and analysis of power reduction in 2 to 4 decoder design using...
Implementation and analysis of power reduction in 2 to 4 decoder design using...eSAT Publishing House
 
AN DYNAMIC ENERGY MANAGEMENT ON FPGA FOR WIRELESS SENSOR NETWORK
AN DYNAMIC ENERGY MANAGEMENT ON FPGA FOR WIRELESS SENSOR NETWORKAN DYNAMIC ENERGY MANAGEMENT ON FPGA FOR WIRELESS SENSOR NETWORK
AN DYNAMIC ENERGY MANAGEMENT ON FPGA FOR WIRELESS SENSOR NETWORKcsijjournal
 
UPF-Based Static Low-Power Verification in Complex Power Structure SoC Design...
UPF-Based Static Low-Power Verification in Complex Power Structure SoC Design...UPF-Based Static Low-Power Verification in Complex Power Structure SoC Design...
UPF-Based Static Low-Power Verification in Complex Power Structure SoC Design...shaotao liu
 
Low Power Design Techniques for ASIC / SOC Design
Low Power Design Techniques for ASIC / SOC DesignLow Power Design Techniques for ASIC / SOC Design
Low Power Design Techniques for ASIC / SOC DesignRajesh_navandar
 
Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...
Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...
Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...VLSICS Design
 
IRJET- Review on Performance of OTA Structure
IRJET- Review on Performance of OTA StructureIRJET- Review on Performance of OTA Structure
IRJET- Review on Performance of OTA StructureIRJET Journal
 
IRJET-Power Efficient Implementation of Asynchronous Counter using Intelligen...
IRJET-Power Efficient Implementation of Asynchronous Counter using Intelligen...IRJET-Power Efficient Implementation of Asynchronous Counter using Intelligen...
IRJET-Power Efficient Implementation of Asynchronous Counter using Intelligen...IRJET Journal
 
IRJET- Performance Analysis of Clock and Data Recovery Circuits using Multile...
IRJET- Performance Analysis of Clock and Data Recovery Circuits using Multile...IRJET- Performance Analysis of Clock and Data Recovery Circuits using Multile...
IRJET- Performance Analysis of Clock and Data Recovery Circuits using Multile...IRJET Journal
 
Power Optimized ALU Design with Control-Signal Gating Technique for Efficient...
Power Optimized ALU Design with Control-Signal Gating Technique for Efficient...Power Optimized ALU Design with Control-Signal Gating Technique for Efficient...
Power Optimized ALU Design with Control-Signal Gating Technique for Efficient...Anil Yadav
 
Optimized Design of an Alu Block Using Power Gating Technique
Optimized Design of an Alu Block Using Power Gating TechniqueOptimized Design of an Alu Block Using Power Gating Technique
Optimized Design of an Alu Block Using Power Gating TechniqueIJERA Editor
 
Modified design for Full Swing SERF and High Speed SERF
Modified design for Full Swing SERF and High Speed SERFModified design for Full Swing SERF and High Speed SERF
Modified design for Full Swing SERF and High Speed SERFIJERA Editor
 
Low Power Design flow using Power Format
Low Power Design flow using Power FormatLow Power Design flow using Power Format
Low Power Design flow using Power Formatijsrd.com
 
Low Power VLSI Design Presentation_final
Low Power VLSI Design Presentation_finalLow Power VLSI Design Presentation_final
Low Power VLSI Design Presentation_finalJITENDER -
 
IRJET - Modeling of Swipt System using QPSK Modulation
IRJET -  	  Modeling of Swipt System using QPSK ModulationIRJET -  	  Modeling of Swipt System using QPSK Modulation
IRJET - Modeling of Swipt System using QPSK ModulationIRJET Journal
 
Low power sram cell with improved response
Low power sram cell with improved responseLow power sram cell with improved response
Low power sram cell with improved responseeSAT Publishing House
 

What's hot (20)

IRJET- A Implementation of High Speed On-Chip Monitoring Circuit by using SAR...
IRJET- A Implementation of High Speed On-Chip Monitoring Circuit by using SAR...IRJET- A Implementation of High Speed On-Chip Monitoring Circuit by using SAR...
IRJET- A Implementation of High Speed On-Chip Monitoring Circuit by using SAR...
 
Designing of Asynchronous Viterbi Decoder for Low Power Consumption using Han...
Designing of Asynchronous Viterbi Decoder for Low Power Consumption using Han...Designing of Asynchronous Viterbi Decoder for Low Power Consumption using Han...
Designing of Asynchronous Viterbi Decoder for Low Power Consumption using Han...
 
Dynamic Power Reduction of Digital Circuits by ClockGating
Dynamic Power Reduction of Digital Circuits by ClockGatingDynamic Power Reduction of Digital Circuits by ClockGating
Dynamic Power Reduction of Digital Circuits by ClockGating
 
Design & Simulation of Half Adder Circuit using AVL Technique Based on CMOS T...
Design & Simulation of Half Adder Circuit using AVL Technique Based on CMOS T...Design & Simulation of Half Adder Circuit using AVL Technique Based on CMOS T...
Design & Simulation of Half Adder Circuit using AVL Technique Based on CMOS T...
 
Implementation and analysis of power reduction in 2 to 4 decoder design using...
Implementation and analysis of power reduction in 2 to 4 decoder design using...Implementation and analysis of power reduction in 2 to 4 decoder design using...
Implementation and analysis of power reduction in 2 to 4 decoder design using...
 
AN DYNAMIC ENERGY MANAGEMENT ON FPGA FOR WIRELESS SENSOR NETWORK
AN DYNAMIC ENERGY MANAGEMENT ON FPGA FOR WIRELESS SENSOR NETWORKAN DYNAMIC ENERGY MANAGEMENT ON FPGA FOR WIRELESS SENSOR NETWORK
AN DYNAMIC ENERGY MANAGEMENT ON FPGA FOR WIRELESS SENSOR NETWORK
 
UPF-Based Static Low-Power Verification in Complex Power Structure SoC Design...
UPF-Based Static Low-Power Verification in Complex Power Structure SoC Design...UPF-Based Static Low-Power Verification in Complex Power Structure SoC Design...
UPF-Based Static Low-Power Verification in Complex Power Structure SoC Design...
 
Low Power Design Techniques for ASIC / SOC Design
Low Power Design Techniques for ASIC / SOC DesignLow Power Design Techniques for ASIC / SOC Design
Low Power Design Techniques for ASIC / SOC Design
 
Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...
Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...
Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...
 
IRJET- Review on Performance of OTA Structure
IRJET- Review on Performance of OTA StructureIRJET- Review on Performance of OTA Structure
IRJET- Review on Performance of OTA Structure
 
IRJET-Power Efficient Implementation of Asynchronous Counter using Intelligen...
IRJET-Power Efficient Implementation of Asynchronous Counter using Intelligen...IRJET-Power Efficient Implementation of Asynchronous Counter using Intelligen...
IRJET-Power Efficient Implementation of Asynchronous Counter using Intelligen...
 
IRJET- Performance Analysis of Clock and Data Recovery Circuits using Multile...
IRJET- Performance Analysis of Clock and Data Recovery Circuits using Multile...IRJET- Performance Analysis of Clock and Data Recovery Circuits using Multile...
IRJET- Performance Analysis of Clock and Data Recovery Circuits using Multile...
 
Power Optimized ALU Design with Control-Signal Gating Technique for Efficient...
Power Optimized ALU Design with Control-Signal Gating Technique for Efficient...Power Optimized ALU Design with Control-Signal Gating Technique for Efficient...
Power Optimized ALU Design with Control-Signal Gating Technique for Efficient...
 
Bc36330333
Bc36330333Bc36330333
Bc36330333
 
Optimized Design of an Alu Block Using Power Gating Technique
Optimized Design of an Alu Block Using Power Gating TechniqueOptimized Design of an Alu Block Using Power Gating Technique
Optimized Design of an Alu Block Using Power Gating Technique
 
Modified design for Full Swing SERF and High Speed SERF
Modified design for Full Swing SERF and High Speed SERFModified design for Full Swing SERF and High Speed SERF
Modified design for Full Swing SERF and High Speed SERF
 
Low Power Design flow using Power Format
Low Power Design flow using Power FormatLow Power Design flow using Power Format
Low Power Design flow using Power Format
 
Low Power VLSI Design Presentation_final
Low Power VLSI Design Presentation_finalLow Power VLSI Design Presentation_final
Low Power VLSI Design Presentation_final
 
IRJET - Modeling of Swipt System using QPSK Modulation
IRJET -  	  Modeling of Swipt System using QPSK ModulationIRJET -  	  Modeling of Swipt System using QPSK Modulation
IRJET - Modeling of Swipt System using QPSK Modulation
 
Low power sram cell with improved response
Low power sram cell with improved responseLow power sram cell with improved response
Low power sram cell with improved response
 

Similar to IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Threshold Logic Flip Flops and Power Gating Techniques

IRJET- Reliability Enhancement of Low-Power Sequential Circuits using Power G...
IRJET- Reliability Enhancement of Low-Power Sequential Circuits using Power G...IRJET- Reliability Enhancement of Low-Power Sequential Circuits using Power G...
IRJET- Reliability Enhancement of Low-Power Sequential Circuits using Power G...IRJET Journal
 
IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...ijceronline
 
IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...ijceronline
 
Low Power Gasp Circuits using Power Gating
Low Power Gasp Circuits using Power GatingLow Power Gasp Circuits using Power Gating
Low Power Gasp Circuits using Power GatingIRJET Journal
 
Low Power Design of Standard Digital Gate Design Using Novel Sleep Transisto...
Low Power Design of Standard Digital Gate Design Using Novel  Sleep Transisto...Low Power Design of Standard Digital Gate Design Using Novel  Sleep Transisto...
Low Power Design of Standard Digital Gate Design Using Novel Sleep Transisto...IJMER
 
IRJET- A Novel Design of Flip Flop and its Application in Up Counter
IRJET-  	  A Novel Design of Flip Flop and its Application in Up CounterIRJET-  	  A Novel Design of Flip Flop and its Application in Up Counter
IRJET- A Novel Design of Flip Flop and its Application in Up CounterIRJET Journal
 
IRJET - Low Power Design for Fast Full Adder
IRJET -  	  Low Power Design for Fast Full AdderIRJET -  	  Low Power Design for Fast Full Adder
IRJET - Low Power Design for Fast Full AdderIRJET Journal
 
Design & implementation of 16 bit low power ALU with clock gating
Design & implementation of 16 bit low power ALU with clock gatingDesign & implementation of 16 bit low power ALU with clock gating
Design & implementation of 16 bit low power ALU with clock gatingIRJET Journal
 
IRJET- Proposing a RTD-Based Block for On-Chip GPU Caches to Reduce Static Po...
IRJET- Proposing a RTD-Based Block for On-Chip GPU Caches to Reduce Static Po...IRJET- Proposing a RTD-Based Block for On-Chip GPU Caches to Reduce Static Po...
IRJET- Proposing a RTD-Based Block for On-Chip GPU Caches to Reduce Static Po...IRJET Journal
 
Different Leakage Power Reduction Techniques in SRAM Circuits : A State-of-th...
Different Leakage Power Reduction Techniques in SRAM Circuits : A State-of-th...Different Leakage Power Reduction Techniques in SRAM Circuits : A State-of-th...
Different Leakage Power Reduction Techniques in SRAM Circuits : A State-of-th...IRJET Journal
 
Improved Power Gating Technique for Leakage Power Reduction
Improved Power Gating Technique for Leakage Power ReductionImproved Power Gating Technique for Leakage Power Reduction
Improved Power Gating Technique for Leakage Power Reductioninventy
 
Back track input vector algorithm for leakage reduction in cmos vlsi digital ...
Back track input vector algorithm for leakage reduction in cmos vlsi digital ...Back track input vector algorithm for leakage reduction in cmos vlsi digital ...
Back track input vector algorithm for leakage reduction in cmos vlsi digital ...VLSICS Design
 
Harmonic current reduction by using the super lift boost converter for two st...
Harmonic current reduction by using the super lift boost converter for two st...Harmonic current reduction by using the super lift boost converter for two st...
Harmonic current reduction by using the super lift boost converter for two st...IJSRED
 
Design and Analysis of Sequential Circuit for Leakage Power Reduction using S...
Design and Analysis of Sequential Circuit for Leakage Power Reduction using S...Design and Analysis of Sequential Circuit for Leakage Power Reduction using S...
Design and Analysis of Sequential Circuit for Leakage Power Reduction using S...ijsrd.com
 
SIMULTANEOUS OPTIMIZATION OF STANDBY AND ACTIVE ENERGY FOR SUB-THRESHOLD CIRC...
SIMULTANEOUS OPTIMIZATION OF STANDBY AND ACTIVE ENERGY FOR SUB-THRESHOLD CIRC...SIMULTANEOUS OPTIMIZATION OF STANDBY AND ACTIVE ENERGY FOR SUB-THRESHOLD CIRC...
SIMULTANEOUS OPTIMIZATION OF STANDBY AND ACTIVE ENERGY FOR SUB-THRESHOLD CIRC...VLSICS Design
 
Design a Low Power Flip-Flop Based on a Signal Feed-Through Scheme
Design a Low Power Flip-Flop Based on a Signal Feed-Through SchemeDesign a Low Power Flip-Flop Based on a Signal Feed-Through Scheme
Design a Low Power Flip-Flop Based on a Signal Feed-Through SchemeIRJET Journal
 
DESIGN AND IMPLEMENTATION OF LOW POWER ALU USING CLOCK GATING AND CARRY SELEC...
DESIGN AND IMPLEMENTATION OF LOW POWER ALU USING CLOCK GATING AND CARRY SELEC...DESIGN AND IMPLEMENTATION OF LOW POWER ALU USING CLOCK GATING AND CARRY SELEC...
DESIGN AND IMPLEMENTATION OF LOW POWER ALU USING CLOCK GATING AND CARRY SELEC...IAEME Publication
 
Energy Efficient Design of Multiplexer Using Adiabatic logic
Energy Efficient Design of Multiplexer Using Adiabatic logicEnergy Efficient Design of Multiplexer Using Adiabatic logic
Energy Efficient Design of Multiplexer Using Adiabatic logicIJEEE
 
SIMULTANEOUS OPTIMIZATION OF STANDBY AND ACTIVE ENERGY FOR SUB-THRESHOLD CIRC...
SIMULTANEOUS OPTIMIZATION OF STANDBY AND ACTIVE ENERGY FOR SUB-THRESHOLD CIRC...SIMULTANEOUS OPTIMIZATION OF STANDBY AND ACTIVE ENERGY FOR SUB-THRESHOLD CIRC...
SIMULTANEOUS OPTIMIZATION OF STANDBY AND ACTIVE ENERGY FOR SUB-THRESHOLD CIRC...VLSICS Design
 
SIMULTANEOUS OPTIMIZATION OF STANDBY AND ACTIVE ENERGY FOR SUB-THRESHOLD CIRC...
SIMULTANEOUS OPTIMIZATION OF STANDBY AND ACTIVE ENERGY FOR SUB-THRESHOLD CIRC...SIMULTANEOUS OPTIMIZATION OF STANDBY AND ACTIVE ENERGY FOR SUB-THRESHOLD CIRC...
SIMULTANEOUS OPTIMIZATION OF STANDBY AND ACTIVE ENERGY FOR SUB-THRESHOLD CIRC...VLSICS Design
 

Similar to IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Threshold Logic Flip Flops and Power Gating Techniques (20)

IRJET- Reliability Enhancement of Low-Power Sequential Circuits using Power G...
IRJET- Reliability Enhancement of Low-Power Sequential Circuits using Power G...IRJET- Reliability Enhancement of Low-Power Sequential Circuits using Power G...
IRJET- Reliability Enhancement of Low-Power Sequential Circuits using Power G...
 
IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...
 
IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...
 
Low Power Gasp Circuits using Power Gating
Low Power Gasp Circuits using Power GatingLow Power Gasp Circuits using Power Gating
Low Power Gasp Circuits using Power Gating
 
Low Power Design of Standard Digital Gate Design Using Novel Sleep Transisto...
Low Power Design of Standard Digital Gate Design Using Novel  Sleep Transisto...Low Power Design of Standard Digital Gate Design Using Novel  Sleep Transisto...
Low Power Design of Standard Digital Gate Design Using Novel Sleep Transisto...
 
IRJET- A Novel Design of Flip Flop and its Application in Up Counter
IRJET-  	  A Novel Design of Flip Flop and its Application in Up CounterIRJET-  	  A Novel Design of Flip Flop and its Application in Up Counter
IRJET- A Novel Design of Flip Flop and its Application in Up Counter
 
IRJET - Low Power Design for Fast Full Adder
IRJET -  	  Low Power Design for Fast Full AdderIRJET -  	  Low Power Design for Fast Full Adder
IRJET - Low Power Design for Fast Full Adder
 
Design & implementation of 16 bit low power ALU with clock gating
Design & implementation of 16 bit low power ALU with clock gatingDesign & implementation of 16 bit low power ALU with clock gating
Design & implementation of 16 bit low power ALU with clock gating
 
IRJET- Proposing a RTD-Based Block for On-Chip GPU Caches to Reduce Static Po...
IRJET- Proposing a RTD-Based Block for On-Chip GPU Caches to Reduce Static Po...IRJET- Proposing a RTD-Based Block for On-Chip GPU Caches to Reduce Static Po...
IRJET- Proposing a RTD-Based Block for On-Chip GPU Caches to Reduce Static Po...
 
Different Leakage Power Reduction Techniques in SRAM Circuits : A State-of-th...
Different Leakage Power Reduction Techniques in SRAM Circuits : A State-of-th...Different Leakage Power Reduction Techniques in SRAM Circuits : A State-of-th...
Different Leakage Power Reduction Techniques in SRAM Circuits : A State-of-th...
 
Improved Power Gating Technique for Leakage Power Reduction
Improved Power Gating Technique for Leakage Power ReductionImproved Power Gating Technique for Leakage Power Reduction
Improved Power Gating Technique for Leakage Power Reduction
 
Back track input vector algorithm for leakage reduction in cmos vlsi digital ...
Back track input vector algorithm for leakage reduction in cmos vlsi digital ...Back track input vector algorithm for leakage reduction in cmos vlsi digital ...
Back track input vector algorithm for leakage reduction in cmos vlsi digital ...
 
Harmonic current reduction by using the super lift boost converter for two st...
Harmonic current reduction by using the super lift boost converter for two st...Harmonic current reduction by using the super lift boost converter for two st...
Harmonic current reduction by using the super lift boost converter for two st...
 
Design and Analysis of Sequential Circuit for Leakage Power Reduction using S...
Design and Analysis of Sequential Circuit for Leakage Power Reduction using S...Design and Analysis of Sequential Circuit for Leakage Power Reduction using S...
Design and Analysis of Sequential Circuit for Leakage Power Reduction using S...
 
SIMULTANEOUS OPTIMIZATION OF STANDBY AND ACTIVE ENERGY FOR SUB-THRESHOLD CIRC...
SIMULTANEOUS OPTIMIZATION OF STANDBY AND ACTIVE ENERGY FOR SUB-THRESHOLD CIRC...SIMULTANEOUS OPTIMIZATION OF STANDBY AND ACTIVE ENERGY FOR SUB-THRESHOLD CIRC...
SIMULTANEOUS OPTIMIZATION OF STANDBY AND ACTIVE ENERGY FOR SUB-THRESHOLD CIRC...
 
Design a Low Power Flip-Flop Based on a Signal Feed-Through Scheme
Design a Low Power Flip-Flop Based on a Signal Feed-Through SchemeDesign a Low Power Flip-Flop Based on a Signal Feed-Through Scheme
Design a Low Power Flip-Flop Based on a Signal Feed-Through Scheme
 
DESIGN AND IMPLEMENTATION OF LOW POWER ALU USING CLOCK GATING AND CARRY SELEC...
DESIGN AND IMPLEMENTATION OF LOW POWER ALU USING CLOCK GATING AND CARRY SELEC...DESIGN AND IMPLEMENTATION OF LOW POWER ALU USING CLOCK GATING AND CARRY SELEC...
DESIGN AND IMPLEMENTATION OF LOW POWER ALU USING CLOCK GATING AND CARRY SELEC...
 
Energy Efficient Design of Multiplexer Using Adiabatic logic
Energy Efficient Design of Multiplexer Using Adiabatic logicEnergy Efficient Design of Multiplexer Using Adiabatic logic
Energy Efficient Design of Multiplexer Using Adiabatic logic
 
SIMULTANEOUS OPTIMIZATION OF STANDBY AND ACTIVE ENERGY FOR SUB-THRESHOLD CIRC...
SIMULTANEOUS OPTIMIZATION OF STANDBY AND ACTIVE ENERGY FOR SUB-THRESHOLD CIRC...SIMULTANEOUS OPTIMIZATION OF STANDBY AND ACTIVE ENERGY FOR SUB-THRESHOLD CIRC...
SIMULTANEOUS OPTIMIZATION OF STANDBY AND ACTIVE ENERGY FOR SUB-THRESHOLD CIRC...
 
SIMULTANEOUS OPTIMIZATION OF STANDBY AND ACTIVE ENERGY FOR SUB-THRESHOLD CIRC...
SIMULTANEOUS OPTIMIZATION OF STANDBY AND ACTIVE ENERGY FOR SUB-THRESHOLD CIRC...SIMULTANEOUS OPTIMIZATION OF STANDBY AND ACTIVE ENERGY FOR SUB-THRESHOLD CIRC...
SIMULTANEOUS OPTIMIZATION OF STANDBY AND ACTIVE ENERGY FOR SUB-THRESHOLD CIRC...
 

More from IRJET Journal

TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...IRJET Journal
 
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURESTUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTUREIRJET Journal
 
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...IRJET Journal
 
Effect of Camber and Angles of Attack on Airfoil Characteristics
Effect of Camber and Angles of Attack on Airfoil CharacteristicsEffect of Camber and Angles of Attack on Airfoil Characteristics
Effect of Camber and Angles of Attack on Airfoil CharacteristicsIRJET Journal
 
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...IRJET Journal
 
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...IRJET Journal
 
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...IRJET Journal
 
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...IRJET Journal
 
A REVIEW ON MACHINE LEARNING IN ADAS
A REVIEW ON MACHINE LEARNING IN ADASA REVIEW ON MACHINE LEARNING IN ADAS
A REVIEW ON MACHINE LEARNING IN ADASIRJET Journal
 
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...IRJET Journal
 
P.E.B. Framed Structure Design and Analysis Using STAAD Pro
P.E.B. Framed Structure Design and Analysis Using STAAD ProP.E.B. Framed Structure Design and Analysis Using STAAD Pro
P.E.B. Framed Structure Design and Analysis Using STAAD ProIRJET Journal
 
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...IRJET Journal
 
Survey Paper on Cloud-Based Secured Healthcare System
Survey Paper on Cloud-Based Secured Healthcare SystemSurvey Paper on Cloud-Based Secured Healthcare System
Survey Paper on Cloud-Based Secured Healthcare SystemIRJET Journal
 
Review on studies and research on widening of existing concrete bridges
Review on studies and research on widening of existing concrete bridgesReview on studies and research on widening of existing concrete bridges
Review on studies and research on widening of existing concrete bridgesIRJET Journal
 
React based fullstack edtech web application
React based fullstack edtech web applicationReact based fullstack edtech web application
React based fullstack edtech web applicationIRJET Journal
 
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...IRJET Journal
 
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.IRJET Journal
 
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...IRJET Journal
 
Multistoried and Multi Bay Steel Building Frame by using Seismic Design
Multistoried and Multi Bay Steel Building Frame by using Seismic DesignMultistoried and Multi Bay Steel Building Frame by using Seismic Design
Multistoried and Multi Bay Steel Building Frame by using Seismic DesignIRJET Journal
 
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...IRJET Journal
 

More from IRJET Journal (20)

TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
 
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURESTUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
 
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
 
Effect of Camber and Angles of Attack on Airfoil Characteristics
Effect of Camber and Angles of Attack on Airfoil CharacteristicsEffect of Camber and Angles of Attack on Airfoil Characteristics
Effect of Camber and Angles of Attack on Airfoil Characteristics
 
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
 
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
 
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
 
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
 
A REVIEW ON MACHINE LEARNING IN ADAS
A REVIEW ON MACHINE LEARNING IN ADASA REVIEW ON MACHINE LEARNING IN ADAS
A REVIEW ON MACHINE LEARNING IN ADAS
 
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
 
P.E.B. Framed Structure Design and Analysis Using STAAD Pro
P.E.B. Framed Structure Design and Analysis Using STAAD ProP.E.B. Framed Structure Design and Analysis Using STAAD Pro
P.E.B. Framed Structure Design and Analysis Using STAAD Pro
 
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
 
Survey Paper on Cloud-Based Secured Healthcare System
Survey Paper on Cloud-Based Secured Healthcare SystemSurvey Paper on Cloud-Based Secured Healthcare System
Survey Paper on Cloud-Based Secured Healthcare System
 
Review on studies and research on widening of existing concrete bridges
Review on studies and research on widening of existing concrete bridgesReview on studies and research on widening of existing concrete bridges
Review on studies and research on widening of existing concrete bridges
 
React based fullstack edtech web application
React based fullstack edtech web applicationReact based fullstack edtech web application
React based fullstack edtech web application
 
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
 
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
 
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
 
Multistoried and Multi Bay Steel Building Frame by using Seismic Design
Multistoried and Multi Bay Steel Building Frame by using Seismic DesignMultistoried and Multi Bay Steel Building Frame by using Seismic Design
Multistoried and Multi Bay Steel Building Frame by using Seismic Design
 
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
 

Recently uploaded

Internship report on mechanical engineering
Internship report on mechanical engineeringInternship report on mechanical engineering
Internship report on mechanical engineeringmalavadedarshan25
 
power system scada applications and uses
power system scada applications and usespower system scada applications and uses
power system scada applications and usesDevarapalliHaritha
 
What are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxWhat are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxwendy cai
 
Concrete Mix Design - IS 10262-2019 - .pptx
Concrete Mix Design - IS 10262-2019 - .pptxConcrete Mix Design - IS 10262-2019 - .pptx
Concrete Mix Design - IS 10262-2019 - .pptxKartikeyaDwivedi3
 
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...srsj9000
 
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVHARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVRajaP95
 
Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...VICTOR MAESTRE RAMIREZ
 
Past, Present and Future of Generative AI
Past, Present and Future of Generative AIPast, Present and Future of Generative AI
Past, Present and Future of Generative AIabhishek36461
 
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort serviceGurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort servicejennyeacort
 
INFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETE
INFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETEINFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETE
INFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETEroselinkalist12
 
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICSAPPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICSKurinjimalarL3
 
main PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfidmain PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfidNikhilNagaraju
 
Heart Disease Prediction using machine learning.pptx
Heart Disease Prediction using machine learning.pptxHeart Disease Prediction using machine learning.pptx
Heart Disease Prediction using machine learning.pptxPoojaBan
 
IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024Mark Billinghurst
 
Call Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile serviceCall Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile servicerehmti665
 
Current Transformer Drawing and GTP for MSETCL
Current Transformer Drawing and GTP for MSETCLCurrent Transformer Drawing and GTP for MSETCL
Current Transformer Drawing and GTP for MSETCLDeelipZope
 

Recently uploaded (20)

Call Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCR
Call Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCRCall Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCR
Call Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCR
 
Internship report on mechanical engineering
Internship report on mechanical engineeringInternship report on mechanical engineering
Internship report on mechanical engineering
 
power system scada applications and uses
power system scada applications and usespower system scada applications and uses
power system scada applications and uses
 
Exploring_Network_Security_with_JA3_by_Rakesh Seal.pptx
Exploring_Network_Security_with_JA3_by_Rakesh Seal.pptxExploring_Network_Security_with_JA3_by_Rakesh Seal.pptx
Exploring_Network_Security_with_JA3_by_Rakesh Seal.pptx
 
What are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxWhat are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptx
 
Concrete Mix Design - IS 10262-2019 - .pptx
Concrete Mix Design - IS 10262-2019 - .pptxConcrete Mix Design - IS 10262-2019 - .pptx
Concrete Mix Design - IS 10262-2019 - .pptx
 
young call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Service
young call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Serviceyoung call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Service
young call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Service
 
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
 
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVHARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
 
Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...
 
Past, Present and Future of Generative AI
Past, Present and Future of Generative AIPast, Present and Future of Generative AI
Past, Present and Future of Generative AI
 
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort serviceGurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
 
young call girls in Green Park🔝 9953056974 🔝 escort Service
young call girls in Green Park🔝 9953056974 🔝 escort Serviceyoung call girls in Green Park🔝 9953056974 🔝 escort Service
young call girls in Green Park🔝 9953056974 🔝 escort Service
 
INFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETE
INFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETEINFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETE
INFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETE
 
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICSAPPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
 
main PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfidmain PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfid
 
Heart Disease Prediction using machine learning.pptx
Heart Disease Prediction using machine learning.pptxHeart Disease Prediction using machine learning.pptx
Heart Disease Prediction using machine learning.pptx
 
IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024
 
Call Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile serviceCall Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile service
 
Current Transformer Drawing and GTP for MSETCL
Current Transformer Drawing and GTP for MSETCLCurrent Transformer Drawing and GTP for MSETCL
Current Transformer Drawing and GTP for MSETCL
 

IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Threshold Logic Flip Flops and Power Gating Techniques

  • 1. International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056 Volume: 06 Issue: 05 | May 2019 www.irjet.net p-ISSN: 2395-0072 © 2019, IRJET | Impact Factor value: 7.211 | ISO 9001:2008 Certified Journal | Page 4867 Reduction of Power, Leakage and Area of a Standard Cell ASICs using Threshold Logic Flip Flops and Power Gating Techniques Karthika Aravind1, Nidiya Habeeb2, Saju A3 1PG Scholar, Dept. Of ECE, MCET, Pathanamthitta, Kerala 2Associate Professor, Dept. of ECE, MCET, Pathanamthitta, Kerala 3Research Scholar, VTU, Karnataka ----------------------------------------------------------------------------------***---------------------------------------------------------------------------------- Abstract - In this paper, a new approach to reduce dynamic power, leakage, and area of standard cell ASICs, without sacrificing itsperformance isdescribed.The approachisbased on designing a threshold logic gates (TLGs) and its integration with the conventional standard-cell design flow.Thethreshold gate behaves as a multi-input, single- output, edge triggered flip-flop,whichcomputesathreshold functionofthe inputson the clockedge.The functionrealized bya giventhresholdgate is determined by how signals are mapped to its inputs. Here pulsed inputs of varying width are provided at the input. The resulting circuits, with both conventional and TLGs (called hybrid circuits), are placed and routed using commercial tools. Here the tool used is Tanner tool v13. The power gating techniques is used along with threshold logic gates to reduce the leakage and dynamic power dissipation. This power gating method along with TLF improves the area and power of the ASIC circuits. The proposed circuit is designed in 45nm technology. A significant reduction in power, leakage, and area of the hybrid circuits when compared with the conventional logic circuits is observed. Key Words: MTCMOS, Threshold logic Flip flop (TLF), Power Gating Techniques. 1. INTRODUCTION The demand and popularity of portable electronicsisdriving designers to strive for smaller silicon area, higher speed, longer battery life and more reliability. Power is one of the premium resource a designer tries to save when designing a system. In every circuit, power dissipation occurs. It is not possible to eliminate it completely but the amount of dissipation can be reduced. Conventional ASIC design involves constructing network of CMOS logic gates to implement a given function. Low power Application specific integratedcircuit(ASIC) design resultsinincreased battery life and reliability enhancement. In this paper, a threshold logic gate methodology is used along with some power reduction techniques to improve the ASIC performance. This methodology is based on the design of threshold logic gates and its integration with conventional standard cell design. Threshold gate is a multiple input, single output, edge triggered flip flop. This flip flop computes the threshold function of the inputs on the clock edge. The resulting circuit is a hybrid circuit which is the combination of conventional logic gates and threshold logic cells. By using this hybrid circuit, the power dissipation can be reduced compared to conventional designs. First we have analysed the architecture and operation of basic PNAND cell. After that a new approach for computing threshold is implemented. Finally this new approach is combined with power gating techniques to reduce the dynamic and leakagepowerdissipationinthecircuit.Sothe final design will have the combination of conventional standardcells(AND,OR, NAND,NOR)andPNANDcell. 2. THRESHOLDLOGICFLIPFLOP The fig-1 shows the basic schematic of threshold gate with k- inputs, referred to as PNAND-k cell. The circuit consists of three parts, namely, input network, sense amplifier and SR latch. The input network is divided into two networks namely Left input network (LIN) and Rightinputnetwork(RIN).Both these networks arecomplement to each other.
  • 2. International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056 Volume: 06 Issue: 05 | May 2019 www.irjet.net p-ISSN: 2395-0072 © 2019, IRJET | Impact Factor value: 7.211 | ISO 9001:2008 Certified Journal | Page 4868 Fig -1: Basic PNAND cell In the circuit, CLK is used as the reset pin. If CLK = 0, the circuitwillreset.IfCLKmakesachangefrom0to1,thenthe circuitwill be enabled. The cell operates in two phases. One is the reset phase and the other is the evaluation phase. When CLK = 0, the circuit is in reset phase and when CLK changes from 0 to 1, it is in evaluation phase. So here the difference in conductivity betweenthetwoinputnetworki.e. LIN (Leftinput network)andRIN(Rightinput network)is sensedandamplified.Thegreater the difference, the faster and more reliably the cell operates. The difference in conductance is mainly determined by the number of active devices intheinput networks.Hereinthisbasic PNANDcell, asignalassignmentmethodcalledopticalsignal assignment is used to compute the threshold function of thegiveninputs. In the basic PNAND-k cell, the library of PNAND-k cells with k = 3,5,7,9 can realize 71 basic functions. By using the OSA method a set of valid threshold functioncan be generatedfor a given set ofinputs. 3. ANEWAPPROACH FOR TLF For a threshold gate, here we are using the basic PNAND cell with a different logic method. Instead of using OSA method for computing the threshold function to realize a given functionality, a pulsed input of varying width is applied to the input network. This is due to the reason that when the thresholdincreases,thedepletionwidthalsoincreasesand hencetheleakage decreases and when threshold decreases, the depletion width decreases and therefore leakage increases. So in order to reduce the leakage, the threshold valueneedtobeincreased.Thiscanbeachievedbyadjusting theaccesstransistorintheinput networks.Byadjustingthe access transistor, the threshold voltagecan be varied. 4. POWER GATINGTECHNIQUES Power gating technique is one of the most efficient techniques used to reduce the power consumption. This technique is used in integrated circuit design to reduce power consumption, byshutting offthecurrent blocksofthe circuit that are not in use which means it transfers the energytocircuitonlyduringtheactivemodeandnotinthe standby mode. Thereareanumberofpowergatingtechniquesareexistbut hereinthis paperonlythree suchtechnique is considered, namely stack, sleep and MTCMOS techniques. In sleep method the sleep transistor isolate the logic network and hence it reduces the leakage power during the sleepmode. Theleakagepowerreductioninstacktechnique is achieved by splitting an existing transistor into two half sizetransistors.The Sleepy Stack Technique joins the Stack and Sleep systems. The current transistors splitted into two half size transistors in the Sleepy Stack system like as Stack procedure. Between the splitted transistors one of the sleep transistors will be placed in parallel. The leakage current is suppressed by the stack transistor and saves the state. While the sleep transistor will be off during the sleep mode. Sleep transistor is placed in parallel to the one of the stacked transistor andin activemodeitreduces delay&resistance of thepath. So power gating uses low-leakage PMOS transistors as header switches to shut off power supplies to parts of a circuit in
  • 3. International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056 Volume: 06 Issue: 05 | May 2019 www.irjet.net p-ISSN: 2395-0072 © 2019, IRJET | Impact Factor value: 7.211 | ISO 9001:2008 Certified Journal | Page 4869 standby or sleep mode. NMOS footer switches can also be used as sleep transistors. Inserting the sleep transistors splits the power network into a permanent power network connectedto thepowersupply anda virtual power network that drives the cells and can be turned off. Usually a high VT sleep transistors are used for powergating, in a technique also known as multi-threshold CMOS (MTCMOS). The sizing of sleep transistor is an important design parameter. 4.1. CircuitworkingusingPowergatingtechniques ThecircuitdiagramincorporatingtheTLFandPowergating techniques is shown inFig-2. Fig -2: The proposed architecture The sleep technique is applied at the CLK circuit. Here the header switch conducts only in the active mode which is used to reduce the leakage power dissipation. Footer switch conducts during the standby mode to reduce the dynamic power dissipation. By using MTCMOS technique, a boot up voltage is applied to the body bias terminal. It causes the threshold voltage to increases which helps to reduce the leakage powerdissipation. 5. SIMULATION RESULT Fig -3: Simulation result for proposed design
  • 4. International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056 Volume: 06 Issue: 05 | May 2019 www.irjet.net p-ISSN: 2395-0072 © 2019, IRJET | Impact Factor value: 7.211 | ISO 9001:2008 Certified Journal | Page 4870 Table -1: Result Comparison Average power consumed (mW) Technology Implemented Conventional Threshold Logic Flip flop 9.665 65 TLF with Power gating Techniques 2.65 45 6. CONCLUSION In this paper, a new approach for power reduction in standard cell ASIC using a combination of conventional logic gate, threshold flip flop and power gating technique is described. It is observed from the output that the new approach consumes less power compared to the existing design and occupies less area without satisfying the speed. Use of power reduction techniques in TLF improves the performanceoftheoverallcircuit.Asignificantimprovement in power, leakage and area were observed. About 73% power reduction is achieved in the proposed design. The proposed design can also be implementedusingregistersso that more data can be stored. Finfet and CNT can be viewed as the future implantation for this design for standard cell ASICs. REFERENCES [1] Niranjan Kulkarni, Jinghua Yang, Jae-Sun Seo and Sarma Vrudhula, ”Reducing Power, Leakage, and Area of Standard-Cell ASICs Using Threshold LogicFlip-Flops,” IEEE Trans. Very Large Scale Integration (VLSI) Systems,2016. [2] N. Kulkarni, N. Nukala, and S. Vrudhula, “Minimizing area and power of sequential CMOS circuits using threshold decomposition,” in Proc. Int. Conf. Comput.- Aided Design, 2012, pp.605–612. [3] T. Gowda, S. Vrudhula, N. Kulkarni, and K. Berezowski, “Identification of threshold functions and synthesis of threshold networks,”IEEETrans.Comput.-AidedDesign Integr. Circuits Syst., vol. 30, no. 5, pp. 665–677,May 2011. [4] S. Leshner, “Modeling and implementation of threshold logic circuits and architectures,” Ph.D. dissertation, Comput. Sci., ArizonaStateUniv.,Tempe,AZ,USA,2010. [5] Mishchenko, A., S. Cho, S. Chatterjee and R. Brayton, “Combinational and sequential mapping with priority cuts”, in “Proc. ICCAD’07”, pp. 354–361. [6] F. Gao and J. P. Hayes , "Total Power Reduction in CMOS Circuits via Gate Sizing and Multiple Threshold Voltages," in Proc. Design Automation Conference,2005, pp.31-36. [7] A. Abdollahi, F. Fallah, and M. Pedram, "Leakage Current Reduction in CMOS VLSI Circuits by Input Vector Control," IEEE Transactions on Very Large Scale Integration(VLSI)Systems,vol.12,no.2,pp.140-154, 2004. [8] Mishchenko, A., S. Cho, S. Chatterjee and R. Brayton (2007), “Combinational and sequential mapping with priority cuts”,in“Proc.ICCAD’07”, pp. 354–361.