SlideShare a Scribd company logo
Int. Journal of Electrical & Electronics Engg. Vol. 2, Spl. Issue 1 (2015) e-ISSN: 1694-2310 | p-ISSN: 1694-2426
NITTTR, Chandigarh EDIT -2015 56
A Survey on Low Power VLSI Designs
Raj Kumari1
, Madhu Priya2
, Mr. Subhash Chand3
1,2
PG Scholar, Department of ECE, NITTTR, Chandigarh, India
3
Associate Engineer HCL Infosystems, Noida, India
1
kumari09raj@gmail.com, 2
madhu19feb.89@gmail.com, 3
thakur.subhash578@gmail.com
Abstract- In today’s modern electronics industries energy or
power efficiency is most important feature to increase the
speed, portability, reliability, popularity and efficiency of
electronic products. Reduction in power consumption or low
power requirement for a system adds features of low cost,
high speed, more efficiency and reliability. CMOS
technology is a popular name in the field of low power
systems. In the field of CMOS technology various methods
are used to make the systems more power efficient like, use
of Sleepy transistors, Stack method in which transistor
length or width is increased to get reduction in leakage
power, use of pre-computation technique with the use of
BDD (Binary Decision Diagram), use of SRAM (Static
Random Access Memory) for high speed operations. In this
paper we survey low power systems in which various
techniques are used to reduce the power consumption in
different circuit areas of the system to get more power
efficient and cost effective electronic systems.
Keywords: Power consumption, Leakage power, Flip-Flop,
CMOS, BDD, Sleepy Transistor, Adders.
I. INTRODUCTION
In VLSI a few years ago cost, reliability, area,
performance, delays were considered as of major concern.
But as the time passes power becomes an area of major
concern. Now-a-days lower power consumption is one of
the important challenges for VLSI system designers. It is
important to save power in the electronic circuits without
compromising state integrity or performance [3]. Also in
this era of integration because of the popularity of
portable electronics products or battery operated
electronics systems,
low power systems becomes more popular. On reducing
the power consumption battery life increases as well as
overheating of the circuits can be removed. A major
application of low power consumption is in the field of
mobile technology, as these are battery operated devices.
There are so many power factors in a circuit i.e. switching
power, short circuit power, power in capacitance, gate
current, source leakage current, input rise time, leakage
power which affects the power consumption of a device.
And by controlling any of these, we can control the power
consumption in an electronics circuit. We analyze several
existing designs to verify different power optimization
techniques at different circuit levels. Reduction in power
also increases the reliability and efficiency of a device.
With this important need of low power consumption
systems development of CMOS technology comes into
existence. These devices are best known for their low
power requirements. But it is not enough to use only
CMOS devices to minimize the power requirement of
system. In CMOS devices power dissipation depends on
charging and discharging circuit nodes, where capacitors
are connected and switching of these capacitor transitions
per clock cycles. Another important dependence factor is
transition due to the short circuit current flowing from
supply to ground and one more is leakage current in the
circuit. The results of these factors are switching activity
power, short circuit power and leakage current power. To
minimize the total dissipated power in the circuit we
have to minimize all these three powers by applying
optimization at different levels of abstraction i.e. circuit,
logic, architecture and system level. This also focuses
on industrial and academic research to minimize power
dissipation at various abstraction levels. One another
reason for low power requirement is that, due to the
expensive packaging techniques, cooling management
system, which increases the density by a huge factor on
chip.
II. TECHNIQUES FOR LOW POWER
CONSUMPTION
In this section, we bring the main schemes which we
have surveyed from large number of papers. In these all
energy efficient techniques power abstraction is being
done at different levels of circuit [1].
Power Reduction at Logic Level
Power dissipation at logic level is most difficult to be
optimized or minimized, because of latches and flip-flops.
At logic level it is most important to reduce power in flip-
flops and clock distribution networks (CDN) [5]. One
technique utilized is clock gating. At logic level flip-flops
and latches are basic elements. In flip-flops we observed if
we use Pulse triggered flip-flop designs then because of
their shorter discharging paths they will consume low
power in the circuit.
A second case at logic level is a large amount of power is
consumed in clocking. And this power can be minimized or
optimized if we replace simple flip-flops with multi bit flip-
flops [9]. Power can be minimized because in multi bit, two
bit flip-flops can share the same clock. Hence power
consumed by clocking can be reduced further by replacing
several flip-flops with multi bit flip-flops. Therefore less
Int. Journal of Electrical & Electronics Engg. Vol. 2, Spl. Issue 1 (2015) e-ISSN: 1694-2310 | p-ISSN: 1694-2426
57 NITTTR, Chandigarh EDIT-2015
number of clock sinks exists, and that clock network would
have smaller power consumption.
One more pre-computation technique is used in CMOS
circuits to minimize power consumption i.e. use of BDD
(Binary Decision Diagrams) [14]. BDD is directed acyclic
graph (DAG), which represents a Boolean function as a sum
of disjoint product form [18]. BDD are binary decision trees
for any expression and these are MUX (Multiplexer) based
realization. Number of MUX required for a circuit depends
on the BDD tree [11]. This MUX realization for a circuit is
done using different power reduction techniques.
Power Reduction at Arithmetic and Logical Unit (ALU)
In most of the electronic circuits which are designed using
VLSI technique an arithmetic logical unit is present which is
used to perform arithmetic operations and calculations like
addition, subtraction, multiplication and division are
performed. And it is noticeable that most of the power is
consumed at this part of circuit. To reduce this power
consumption we can use different adder circuits in ALU
which have less power requirements. We have surveyed
some of these circuits which reduces the power consumption
by an important factor by applying different techniques.
Generally transistors are used to build these important adder
circuitry. As with advancement in integration technology the
size of chips shrinking day by day and density of transistors
or other components is increasing by a huge amount [12].
And because of this leakage currents increases considerably,
thus increases the power consumption. Various techniques
are used to reduce this power consumption and one of these
techniques is Stack method using sleepy transistors [21]. A
sleepy transistor method gives a leakage power reduction
with 12.486 ụw as compare to other methods. In this method
power reduction can be achieved by increasing length or
width , by using clock gating, by implementing multiple
threshold CMOS etc [13].
Also a major use of ALU is in Mobile technology or in
microprocessors [10]. Thus an important application of low
power consumption is in the field of mobile technology. As
these are using adders in ALU and also these are battery
operated devices. To save power we generally put device in
stand-by mode in which a portion of circuit is disconnected
from power or shut down. As mentioned above a leakage
current also consume power when it is off. Now to reduce
this leakage power here again we can utilize the properties
of Sleepy transistor. In this technique of power gating Sleep
transistor is added between actual ground rail and circuit
ground or virtual ground. Thus device is turned off to cut-off
the leakage current. In this way sleepy transistor is used in
applications of low power consumption to reduce to total
consumed power.
In high performance and portable applications to increase
energy efficiency one more adder used in ALU is SERF full
adder [24]. SERF full adder is an optimized full adder which
is based on XOR gate [19] [20] [22]. Full adders are utilized
in multiplier modules of DSP filters.
By knowing the fact that in case of adders speed is limited
by time taken by carry to propagate through adder, Which
also increases the total power consumption of adders. An
adder CSLA (Carry Select Adder) [45] is developed which
is a compromise between small area longer delay and longer
area shorter delays [29]. It uses multiple pairs of ripple carry
adder (RCA) to generate partial sum and carry by
considering carry input either 0 or 1[30]. Then final sum and
carry are selected by multiplexer.
Power Reduction at Circuit Level
Power reduction at circuit level can be done by reducing
power consumption across transistors or components used
on the chip.
One technique to reduce power at circuit level is to use
MOS transistor in di-erent modes i.e. weak and strong
inversion layers. These di-erent modes of transistors can be
implemented using CNN (Cellular Neural Network) [34].
CNN model is main characteristic of low power
consumption, programmability [25]. Chua—Yang model is
generally used for simple circuits which is a special type of
analog, non-linear processor array [43]. Due to regularity,
parallelism and local connectivity found in CNN circuit
array it is used for VLSI implementation. To reduce power
consumption we process the small currents using MOS
transistors in weak inversion for all di-erential pairs.
Second low power consumption technique is to use Scaling
and Voltage Islands [44]. This technique partitions the
circuit into multiple voltage and frequency islands which
reduces the dynamic and leakage power. This technique is
similar to the Sleepy transistor technique in which transistor
switches off the portion of circuits which are in rest state or
not active, to reduce the power consumption. Same is done
here using voltage Islands [26]. Voltage Islands reduces the
power by selectively shutting down the different portion of
chip and run only selected parts at different voltage and
frequency levels. This is done at software level with the
compiler support using voltage islands. This technique has
better performance, energy savings and voltage scaling of
memory.
Int. Journal of Electrical & Electronics Engg. Vol. 2, Spl. Issue 1 (2015) e-ISSN: 1694-2310 | p-ISSN: 1694-2426
NITTTR, Chandigarh EDIT -2015 58
Power Reduction at Bus Interfaces
An idea to reduce power dissipation in circuits comes
from the fact that an important part of power is dissipated
by the buses [39], which are used for the communication
of circuit components. Thus to reduce power dissipation
efforts are made for low power bus design.
As a result a technique Bus – invert (BI) [33] [46] Coding
is designed to reduce the power dissipation by reducing
the number of bus transitions. It is very simple and usable
technique because of which a large number of BI (Bus-
Invert) algorithms are developed [35]. A major drawback
of Bus-Invert technique is, increase in bus areas and
because of which degradation occurs into its transition
[36].
Therefore a new technique is introduced to overcome
this limitation of BI technique. This new technique is
TBIC (Two-bit Bus Invert Coding) [37]. It divides an n-
bit bus into n/2 sub-buses of width 2 and BI coding is
individually applied to each sub-bus [38] . It reduces the
bus transition by about 45.7%. Hence total power
dissipation reduces as the bus transitions get reduced.
III. CONCLUSION
In this paper, we have surveyed various energy
efficient techniques from device level to thee architecture
level to overcome the problem of power dissipation.
Through this whole survey we observed how devices,
circuits and architecture within the design space can be
optimized for minimum energy consumption. There are so
many power factors in a circuit like switching power,
short circuit power, power in capacitance, gate current,
source leakage current, input rise time, leakage power,
which affect the power consumption of a device. And by
controlling any of these factors we can control the power
consumption in a circuit. It is observed that a number of
parameters like leakage current, input supply voltage
level, frequency of operation, load capacitance, rise time,
switching power, power dissipation capacitance and
loading effect directly affects the power consumption of a
circuit. Thus if, power consumption is reduced it results in
so many benefits like, no requirement of heat sinks
because of very less heat generation in the circuit due to
low power. Also circuit size get reduced due to the
removal of heat sinks or other components associated with
high temperature. Due to small sizes reliability of system
also increases and cost of product get reduced. Low power
consumption also increases the portability and life of
battery in all the battery operated systems. In future we
will work on an energy efficient design which includes
these all power consumption reduction techniques at
different circuit levels and thus get minimum total power
consumption.
REFERENCES
[1] M. J. Bharath, “Optimization Techniques for Low Power VLSI
Circuits,” Middle-East Journal of Scientific Research, pp. 1082-1087,
September 2014.
[2] S. Kong, S. S. Kim, Y. H. Jun, "Conditional Capture Flip Flop
Technique for Statistical Power Reduction," Digest of Technical
Papers, pp. 290-291, February 2000.
[3] B. Nikolic, V. G. Oklobdzija, V. Stajanovic, W. Jia, J. K. Chiu, and
M. M. Leung, “Improved Sense-Amplifier Based Flip Flop: Design
And Measurements,” IEEE J. Solid-State Circuits, Vol. 35, No. 6, pp.
876–883, June 2000.
[4] B. Voss and M. Glesner, “A Low Power Sinusoidal Clock” Proc.
IEEE, Vol. 4, pp. 108–111, May 2001.
[5] P. Jose, “An optimal flip flop design for VLSI power minimization,”
International Journal of Advances in Engineering & Technology,
March 2014.
[6] A. P. Chandrakasan and R. W. Broderson, "Minimizing Power
Consumption in Digital CMOS Circuits" Proc. IEEE, Vol. 83, No.4,
pp. 498- 523, April 1995.
[7] P. K. S. Vasundara, and K. S. Gurumurthy, "Quaternary CMOS
Combinational Logic Circuits" IEEE International Conference on
Information and Multimedia Technology, pp. 538-542, December
2009.
[8] A. Karandikar, K. K. Parhi, "Low Power SRAM Design Using
Hierarchical Divided Bit-Line Approach" International Conference
on Computer Design: VLSI in Computers and Processors, pp. 82-88,
5-7 October 1998.
[9] L. Angel Prabha, S. Joy, “Low Power Multi Bit Flip Flops Design for
VLSI Circuits” International Journal of Innovative Research in
Computer and Communication Engineering, Proc. International
Conference On Global Innovations In Computing Technology, Vol. 2,
March 2014.
[10]P. Gronowski, W. J. Bowhill, R. P. Preston, M. K. Gowan and R. L.
Allmon, “High-Performance Microprocessor Design” IEEE Journal
of Solid-State Circuits, Vol. 33, May 1998.
[11]N. S. Pradhan, G. Paul, A. Pal and B. B. Bhattacharya, “Power Aware
BDD-based Logic Synthesis Using Adiabatic Multiplexers” 4th
International Conference on Electrical and Computer Engineering,
December 2006.
[12]N. West and K. Eshragian, “Principles of CMOS VLSI Design : A
systems Perspective”, Addisonwesley 1993.
[13]Ku He, Rong Luo, Yu Wang, "A Power Gating Scheme for Ground
Bounce Reduction During Mode Transition" ICCD07, pp. 388-394,
2007.
[14]P. K. Sharma1, M. Kumar, “Implementation of BDDs by Various
Techniques in Low Power VLSI Design” International Journal on
Recent Trends in Engineering and Technology, Vol. 10, No. 2,
January 2014.
[15]R. Pedram R. and M. Pedram M. “Low Power Design
Methodologies”, Kluwer Academic, US, 1996.
[16]N. Tzartzanis and W. C. Athas, “Design and analysis of a Low-Power
Energy-Recovery Adder”, IEEE Journal of Solid State design Proc. of
the IEEE Great Lakes Symposium on VLSI, pp. 66-69, 1995.
[17]K. P. Parhi, “Fast Low-Energy VLSI Binary Addition”, Proceedings
of the International Conference on Computer Design, pp. 676-684,
1997.
[18]S. B. Akers, “Binary decision diagrams”, IEEE Conference on
Computers, Vol. C-27, No. 6, pp. 509-516, 1978.
[19]A. M. Shams and M. A. Bayoumi, “A Novel High- Performance
CMOS L-Bit Full-Adder Cell”, IEEE Transactions on circuits and
systems-II: Analog and digital signal processing, Vol. 47, No.5, pp.
478-481, May 2000.
[20]Y. Jiang, A. Al-Sheraidah, Y. Wang, E. Sha, and J. Gyun Chung, “A
Novel Multiplexer-Based Low Power Full Adder”, IEEE Transactions
on Circuits and system-II, Vol. 5, No. 7, July 2004.
Int. Journal of Electrical & Electronics Engg. Vol. 2, Spl. Issue 1 (2015) e-ISSN: 1694-2310 | p-ISSN: 1694-2426
59 NITTTR, Chandigarh EDIT-2015
[21]P. Nagarani1, B. Prasannajyothi, D. Naga Poornima, “Design And
Implementation Of Sleep Transistor Based Low Power CMOS Design
For Submicron VLSI Technologies”, International Journal of
Advanced Technology in Engineering and Science Vol. 02, No. 09,
September 2014.
[22]E. S. Chew, M. W. Phyu, and W. L. Goh, “Ultra Low-Power Full-
Adder for Biomedical Applications”, IEEE International Conference
of Electron Devices and Solid-State Circuits, 2009, pp. 115 – 1182,
December 2009.
[23]S. Mutohetal, “1-V Power Supply High-speed Digital Circuit
Technology with Multi threshold-Voltage CMOS,” IEEE Journal of
Solid-State Circuits, Vol. 30, No. 8, pp. 847-854, 1995.
[24]T. Pardhu, S. Manusha and K. Sirisha, “Low power VLSI
Compressors for Biomedical Applications”, Natarajan
Meghanathanetal (Eds):ICCSEA, SPPR, VLSI, WiMoA, SCAI, CNSA,
pp. 111–120, 2014.
[25]E. A. Vittoz, “CMOS Transistors Operated In The Lateral Bipolar
Mode And Their Application In CMOS Technology,” IEEE Journal
Solid State Circuits, Vol. SC-18, Pp. 273-279, June 1983.
[26]B. Liu, y. Cai, Q. Zhou and X. Hong “Effective Power Optimization
Under Timing & Voltage Island Constraints Via Simultaneous VDD
Vth Assignments, Gate Sizing & Placement,” Vol. 27, February 2011.
[27]A. S. Gaur, J. Budakoti, “Energy Efficient Advanced Low Power
CMOS Design to reduce power consumption in Deep Submicron
Technologies in CMOS Circuit for VLSI Design” International
Journal of Advanced Research in Computer and Communication
Engineering, Vol. 3, No. 6, June 2014.
[28]W. Y. Jang, pan, D. Z. “A Voltage Frequency Island Aware Energy
Optimization Framework for NOC”, IEEE Trans., vol. 55, 2011.
[29]O. J. Bedrij, “Carry-select adder,” IRE Trans. Electronic Computing.,
pp. 340–344, 1962.
[30]Quartus II user manual.
[31]Y. He, C. H. Chang, and J. Gu, “An Area Efficient 64-Bit Square
Root Carry-Select Adder For Low Power Applications”, Proc. IEEE
Int. Symp. Circuits Systems, vol. 4, pp. 4082-4085, 2005.
[32]B. Ramkumar and Harish M. Kittur “Low-Power and Area Efficient
Carry Select Adder” IEEE Transactions on Very Large Scale
Integration (VLSI) Systems, Vol. 20, No. 2, pp. 371-375, 2012.
[33]M. R. Stan and W. P. Burleson, “Bus-invert coding for low power
I/O”, IEEE Trans. VLSI Syst., vol. 3, pp. 49–58, March 1995.
[34]S. Chauhan, J. Sharma and P. Soni, “Low Power VLSI
Implementation in Image Processing using Programmable CNN”,
International Journal of Advanced Research in Computer
Engineering & Technology (IJARCET), Vol. 3, No. 5, May 2014.
[35]Y. Shin, S. I. Chae, and K. Choi, “Partial bus-invert coding For power
optimization of application specific systems”, IEEE Trans. VLSI Syst.,
vol. 9, pp. 377-383, April 2001.
[36]U. Narayanan, K. S. Chung, and T. Kim, “Enhanced Bus Invert
Encodings For Low-Power”, IEEE Int. Symp. on Circuits and
Systems, Vol. 5, pp. 25-28, May 2002.
[37]M. Yoon and B. Roh, "A Novel Low-Power Bus Design For Bus
Invert Coding", IEICE Trans. Electronics, Vol. E90-C, No. 4, pp.
731-734, 2007.
[38]M. Yoon "Achieving Maximum Performance For Bus-Invert Coding
With Time-Splitting Transmitter Circuit", IEICE Trans.
Fundamentals, Vol. E95-A, No. 12, pp. 2357-2363, 2012.
[39]The MOSIS Service, http://www.mosis.com/[Online.
[40]G. Moore, “Progress in Digital Integrated Electronics”, IEDM Tech.
Digest, pp. 11–13, 1975.
[41]B. Hoeneisen and C. A. Mead, “Fundamental Limitations in
Microelectronics”, I MOS Technology, ǁ Solid State Electron pp. 815-
819, 1972.
[42]S. Takagi, M. Takaytanagi -Takagi, and A. Toriumi, “Accurate
Characterization of Electron and Hole Inversion-Layer Capacitance
and Its Impact on Low Voltage Operation of Scaled MOSFETs”,
IEDM Tech. Digest, pp. 619 – 622, 1998.
[43] “Special Issue on Cellular Neural Networks”, IEEE Trans. Circuits
Syst., Vol. 40, Mar. 1993.
[44]V. P. M. B. Aarthi1, M. Kiruthiga, “A VLSI Based Low Power
Approach Using Dynamic Voltage Scaling & Voltage Islands For
Embedded Processor” ISSN (Online) IJECEAR, pp. 2347-9329, Vol.
2, No. 1, February 2014.
[45]Arunprasath S., Karthick A. and D. kumar, “VLSI Implementation
and Analysis of Parallel Adders for Low Power Applications”,
International Journal of Computer Science and Mobile Computing,
Vol. 3, No. 2, pp. 181-186 February 2014.
[46]M. Yoon, “A Two-bit Bus-Invert Coding Scheme with a Mid-level
State Bus-Line for Low Power VLSI Design”, Journal Of
Semiconductor Technology and Science, Vol.14, No.4, August 2014.
[47]M. Abbas, C. Shukla, A. Kavitha, R. K., “Sub – 1V Ultra Low Power
Voltage Reference”, IEEE International Conference on VLSI Systems,
Architecture, Technology and Applications, pp. 1-4, January 2015.
[48] Klavakolanu, S. R. Sastry “A Review Report On Low Power VLSI
Systems Analysis And Modeling Techniques”, IEEE International
Conference on Signal Processing And Communication Engineering
Systems, pp. 142-146, January 2015.

More Related Content

What's hot

Design a Low Power High Speed Full Adder Using AVL Technique Based on CMOS Na...
Design a Low Power High Speed Full Adder Using AVL Technique Based on CMOS Na...Design a Low Power High Speed Full Adder Using AVL Technique Based on CMOS Na...
Design a Low Power High Speed Full Adder Using AVL Technique Based on CMOS Na...
IOSR Journals
 
Low Power and Area Efficient Multiplier Layout using Transmission Gate
Low Power and Area Efficient Multiplier Layout using Transmission GateLow Power and Area Efficient Multiplier Layout using Transmission Gate
Low Power and Area Efficient Multiplier Layout using Transmission Gate
IJEEE
 
Benefiting wireless power transfer scheme in power domain based multiple acce...
Benefiting wireless power transfer scheme in power domain based multiple acce...Benefiting wireless power transfer scheme in power domain based multiple acce...
Benefiting wireless power transfer scheme in power domain based multiple acce...
journalBEEI
 
DEVELOPMENT OF DC SOURCE BASED SYSTEM GENERATOR USING SPWM FOR HIGH SWITCHING...
DEVELOPMENT OF DC SOURCE BASED SYSTEM GENERATOR USING SPWM FOR HIGH SWITCHING...DEVELOPMENT OF DC SOURCE BASED SYSTEM GENERATOR USING SPWM FOR HIGH SWITCHING...
DEVELOPMENT OF DC SOURCE BASED SYSTEM GENERATOR USING SPWM FOR HIGH SWITCHING...
pharmaindexing
 
Reliability Assesment of Debremarkos Distribution System Found In Ethiopia
Reliability Assesment of Debremarkos Distribution System Found In EthiopiaReliability Assesment of Debremarkos Distribution System Found In Ethiopia
Reliability Assesment of Debremarkos Distribution System Found In Ethiopia
International journal of scientific and technical research in engineering (IJSTRE)
 
Reducing power in using different technologies using FSM architecture
Reducing power in using different technologies using FSM architectureReducing power in using different technologies using FSM architecture
Reducing power in using different technologies using FSM architecture
VLSICS Design
 
Partial Shading in Building Integrated PV System: Causes, Effects and Mitigat...
Partial Shading in Building Integrated PV System: Causes, Effects and Mitigat...Partial Shading in Building Integrated PV System: Causes, Effects and Mitigat...
Partial Shading in Building Integrated PV System: Causes, Effects and Mitigat...
IJPEDS-IAES
 
Bt31275283
Bt31275283Bt31275283
Bt31275283IJMER
 
Abrol2018 article joint_powerallocationandrelayse
Abrol2018 article joint_powerallocationandrelayseAbrol2018 article joint_powerallocationandrelayse
Abrol2018 article joint_powerallocationandrelayse
Rakesh Jha
 
Ix3416271631
Ix3416271631Ix3416271631
Ix3416271631
IJERA Editor
 
Network Reconfiguration in Distribution Systems Using Harmony Search Algorithm
Network Reconfiguration in Distribution Systems Using Harmony Search AlgorithmNetwork Reconfiguration in Distribution Systems Using Harmony Search Algorithm
Network Reconfiguration in Distribution Systems Using Harmony Search Algorithm
IOSRJEEE
 
Iaetsd design and simulation of high speed cmos full adder (2)
Iaetsd design and simulation of high speed cmos full adder (2)Iaetsd design and simulation of high speed cmos full adder (2)
Iaetsd design and simulation of high speed cmos full adder (2)
Iaetsd Iaetsd
 
Optimal Placement and Sizing of Distributed Generation Units Using Co-Evoluti...
Optimal Placement and Sizing of Distributed Generation Units Using Co-Evoluti...Optimal Placement and Sizing of Distributed Generation Units Using Co-Evoluti...
Optimal Placement and Sizing of Distributed Generation Units Using Co-Evoluti...
Radita Apriana
 
Comparative Performance Analysis of Low Power Full Adder Design in Different ...
Comparative Performance Analysis of Low Power Full Adder Design in Different ...Comparative Performance Analysis of Low Power Full Adder Design in Different ...
Comparative Performance Analysis of Low Power Full Adder Design in Different ...
ijcisjournal
 
Novel low power half subtractor using avl technique based on 0.18µm cmos tech...
Novel low power half subtractor using avl technique based on 0.18µm cmos tech...Novel low power half subtractor using avl technique based on 0.18µm cmos tech...
Novel low power half subtractor using avl technique based on 0.18µm cmos tech...
IJARIIT
 

What's hot (16)

Design a Low Power High Speed Full Adder Using AVL Technique Based on CMOS Na...
Design a Low Power High Speed Full Adder Using AVL Technique Based on CMOS Na...Design a Low Power High Speed Full Adder Using AVL Technique Based on CMOS Na...
Design a Low Power High Speed Full Adder Using AVL Technique Based on CMOS Na...
 
Low Power and Area Efficient Multiplier Layout using Transmission Gate
Low Power and Area Efficient Multiplier Layout using Transmission GateLow Power and Area Efficient Multiplier Layout using Transmission Gate
Low Power and Area Efficient Multiplier Layout using Transmission Gate
 
Benefiting wireless power transfer scheme in power domain based multiple acce...
Benefiting wireless power transfer scheme in power domain based multiple acce...Benefiting wireless power transfer scheme in power domain based multiple acce...
Benefiting wireless power transfer scheme in power domain based multiple acce...
 
DEVELOPMENT OF DC SOURCE BASED SYSTEM GENERATOR USING SPWM FOR HIGH SWITCHING...
DEVELOPMENT OF DC SOURCE BASED SYSTEM GENERATOR USING SPWM FOR HIGH SWITCHING...DEVELOPMENT OF DC SOURCE BASED SYSTEM GENERATOR USING SPWM FOR HIGH SWITCHING...
DEVELOPMENT OF DC SOURCE BASED SYSTEM GENERATOR USING SPWM FOR HIGH SWITCHING...
 
Reliability Assesment of Debremarkos Distribution System Found In Ethiopia
Reliability Assesment of Debremarkos Distribution System Found In EthiopiaReliability Assesment of Debremarkos Distribution System Found In Ethiopia
Reliability Assesment of Debremarkos Distribution System Found In Ethiopia
 
Reducing power in using different technologies using FSM architecture
Reducing power in using different technologies using FSM architectureReducing power in using different technologies using FSM architecture
Reducing power in using different technologies using FSM architecture
 
Partial Shading in Building Integrated PV System: Causes, Effects and Mitigat...
Partial Shading in Building Integrated PV System: Causes, Effects and Mitigat...Partial Shading in Building Integrated PV System: Causes, Effects and Mitigat...
Partial Shading in Building Integrated PV System: Causes, Effects and Mitigat...
 
Bt31275283
Bt31275283Bt31275283
Bt31275283
 
1924 1929
1924 19291924 1929
1924 1929
 
Abrol2018 article joint_powerallocationandrelayse
Abrol2018 article joint_powerallocationandrelayseAbrol2018 article joint_powerallocationandrelayse
Abrol2018 article joint_powerallocationandrelayse
 
Ix3416271631
Ix3416271631Ix3416271631
Ix3416271631
 
Network Reconfiguration in Distribution Systems Using Harmony Search Algorithm
Network Reconfiguration in Distribution Systems Using Harmony Search AlgorithmNetwork Reconfiguration in Distribution Systems Using Harmony Search Algorithm
Network Reconfiguration in Distribution Systems Using Harmony Search Algorithm
 
Iaetsd design and simulation of high speed cmos full adder (2)
Iaetsd design and simulation of high speed cmos full adder (2)Iaetsd design and simulation of high speed cmos full adder (2)
Iaetsd design and simulation of high speed cmos full adder (2)
 
Optimal Placement and Sizing of Distributed Generation Units Using Co-Evoluti...
Optimal Placement and Sizing of Distributed Generation Units Using Co-Evoluti...Optimal Placement and Sizing of Distributed Generation Units Using Co-Evoluti...
Optimal Placement and Sizing of Distributed Generation Units Using Co-Evoluti...
 
Comparative Performance Analysis of Low Power Full Adder Design in Different ...
Comparative Performance Analysis of Low Power Full Adder Design in Different ...Comparative Performance Analysis of Low Power Full Adder Design in Different ...
Comparative Performance Analysis of Low Power Full Adder Design in Different ...
 
Novel low power half subtractor using avl technique based on 0.18µm cmos tech...
Novel low power half subtractor using avl technique based on 0.18µm cmos tech...Novel low power half subtractor using avl technique based on 0.18µm cmos tech...
Novel low power half subtractor using avl technique based on 0.18µm cmos tech...
 

Similar to A Survey on Low Power VLSI Designs

Analysis Of Optimization Techniques For Low Power VLSI Design
Analysis Of Optimization Techniques For Low Power VLSI DesignAnalysis Of Optimization Techniques For Low Power VLSI Design
Analysis Of Optimization Techniques For Low Power VLSI Design
Amy Cernava
 
Optimized Design of an Alu Block Using Power Gating Technique
Optimized Design of an Alu Block Using Power Gating TechniqueOptimized Design of an Alu Block Using Power Gating Technique
Optimized Design of an Alu Block Using Power Gating Technique
IJERA Editor
 
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
VLSICS Design
 
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
VLSICS Design
 
A verilog based simulation methodology for estimating statistical test for th...
A verilog based simulation methodology for estimating statistical test for th...A verilog based simulation methodology for estimating statistical test for th...
A verilog based simulation methodology for estimating statistical test for th...
ijsrd.com
 
Analysis Of Power Dissipation Amp Low Power VLSI Chip Design
Analysis Of Power Dissipation  Amp  Low Power VLSI Chip DesignAnalysis Of Power Dissipation  Amp  Low Power VLSI Chip Design
Analysis Of Power Dissipation Amp Low Power VLSI Chip Design
Bryce Nelson
 
Analysis of Power Dissipation & Low Power VLSI Chip Design
Analysis of Power Dissipation & Low Power VLSI Chip DesignAnalysis of Power Dissipation & Low Power VLSI Chip Design
Analysis of Power Dissipation & Low Power VLSI Chip Design
Editor IJMTER
 
CMOS LOW POWER CELL LIBRARY FOR DIGITAL DESIGN
CMOS LOW POWER CELL LIBRARY FOR DIGITAL DESIGNCMOS LOW POWER CELL LIBRARY FOR DIGITAL DESIGN
CMOS LOW POWER CELL LIBRARY FOR DIGITAL DESIGN
VLSICS Design
 
IRJET - Low Power Design for Fast Full Adder
IRJET -  	  Low Power Design for Fast Full AdderIRJET -  	  Low Power Design for Fast Full Adder
IRJET - Low Power Design for Fast Full Adder
IRJET Journal
 
Br044426429
Br044426429Br044426429
Br044426429
IJERA Editor
 
Volume 2-issue-6-1979-1982
Volume 2-issue-6-1979-1982Volume 2-issue-6-1979-1982
Volume 2-issue-6-1979-1982Editor IJARCET
 
Volume 2-issue-6-1979-1982
Volume 2-issue-6-1979-1982Volume 2-issue-6-1979-1982
Volume 2-issue-6-1979-1982Editor IJARCET
 
Design of Multiplier using Low Power CMOS Technology
Design of Multiplier using Low Power CMOS TechnologyDesign of Multiplier using Low Power CMOS Technology
Design of Multiplier using Low Power CMOS Technology
Associate Professor in VSB Coimbatore
 
Energy Efficient Design of Multiplexer Using Adiabatic logic
Energy Efficient Design of Multiplexer Using Adiabatic logicEnergy Efficient Design of Multiplexer Using Adiabatic logic
Energy Efficient Design of Multiplexer Using Adiabatic logic
IJEEE
 
FORCED STACK SLEEP TRANSISTOR (FORTRAN): A NEW LEAKAGE CURRENT REDUCTION APPR...
FORCED STACK SLEEP TRANSISTOR (FORTRAN): A NEW LEAKAGE CURRENT REDUCTION APPR...FORCED STACK SLEEP TRANSISTOR (FORTRAN): A NEW LEAKAGE CURRENT REDUCTION APPR...
FORCED STACK SLEEP TRANSISTOR (FORTRAN): A NEW LEAKAGE CURRENT REDUCTION APPR...
VIT-AP University
 
Comparative Performance Analysis of XORXNOR Function Based High-Speed CMOS Fu...
Comparative Performance Analysis of XORXNOR Function Based High-Speed CMOS Fu...Comparative Performance Analysis of XORXNOR Function Based High-Speed CMOS Fu...
Comparative Performance Analysis of XORXNOR Function Based High-Speed CMOS Fu...
VLSICS Design
 
Low Power VLSI Design of Modified Booth Multiplier
Low Power VLSI Design of Modified Booth MultiplierLow Power VLSI Design of Modified Booth Multiplier
Low Power VLSI Design of Modified Booth Multiplier
idescitation
 
Low Power Design of Standard Digital Gate Design Using Novel Sleep Transisto...
Low Power Design of Standard Digital Gate Design Using Novel  Sleep Transisto...Low Power Design of Standard Digital Gate Design Using Novel  Sleep Transisto...
Low Power Design of Standard Digital Gate Design Using Novel Sleep Transisto...
IJMER
 
Extremely Low Power FIR Filter for a Smart Dust Sensor Module
Extremely Low Power FIR Filter for a Smart Dust Sensor ModuleExtremely Low Power FIR Filter for a Smart Dust Sensor Module
Extremely Low Power FIR Filter for a Smart Dust Sensor Module
CSCJournals
 
Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...
Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...
Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...
VLSICS Design
 

Similar to A Survey on Low Power VLSI Designs (20)

Analysis Of Optimization Techniques For Low Power VLSI Design
Analysis Of Optimization Techniques For Low Power VLSI DesignAnalysis Of Optimization Techniques For Low Power VLSI Design
Analysis Of Optimization Techniques For Low Power VLSI Design
 
Optimized Design of an Alu Block Using Power Gating Technique
Optimized Design of an Alu Block Using Power Gating TechniqueOptimized Design of an Alu Block Using Power Gating Technique
Optimized Design of an Alu Block Using Power Gating Technique
 
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
 
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
 
A verilog based simulation methodology for estimating statistical test for th...
A verilog based simulation methodology for estimating statistical test for th...A verilog based simulation methodology for estimating statistical test for th...
A verilog based simulation methodology for estimating statistical test for th...
 
Analysis Of Power Dissipation Amp Low Power VLSI Chip Design
Analysis Of Power Dissipation  Amp  Low Power VLSI Chip DesignAnalysis Of Power Dissipation  Amp  Low Power VLSI Chip Design
Analysis Of Power Dissipation Amp Low Power VLSI Chip Design
 
Analysis of Power Dissipation & Low Power VLSI Chip Design
Analysis of Power Dissipation & Low Power VLSI Chip DesignAnalysis of Power Dissipation & Low Power VLSI Chip Design
Analysis of Power Dissipation & Low Power VLSI Chip Design
 
CMOS LOW POWER CELL LIBRARY FOR DIGITAL DESIGN
CMOS LOW POWER CELL LIBRARY FOR DIGITAL DESIGNCMOS LOW POWER CELL LIBRARY FOR DIGITAL DESIGN
CMOS LOW POWER CELL LIBRARY FOR DIGITAL DESIGN
 
IRJET - Low Power Design for Fast Full Adder
IRJET -  	  Low Power Design for Fast Full AdderIRJET -  	  Low Power Design for Fast Full Adder
IRJET - Low Power Design for Fast Full Adder
 
Br044426429
Br044426429Br044426429
Br044426429
 
Volume 2-issue-6-1979-1982
Volume 2-issue-6-1979-1982Volume 2-issue-6-1979-1982
Volume 2-issue-6-1979-1982
 
Volume 2-issue-6-1979-1982
Volume 2-issue-6-1979-1982Volume 2-issue-6-1979-1982
Volume 2-issue-6-1979-1982
 
Design of Multiplier using Low Power CMOS Technology
Design of Multiplier using Low Power CMOS TechnologyDesign of Multiplier using Low Power CMOS Technology
Design of Multiplier using Low Power CMOS Technology
 
Energy Efficient Design of Multiplexer Using Adiabatic logic
Energy Efficient Design of Multiplexer Using Adiabatic logicEnergy Efficient Design of Multiplexer Using Adiabatic logic
Energy Efficient Design of Multiplexer Using Adiabatic logic
 
FORCED STACK SLEEP TRANSISTOR (FORTRAN): A NEW LEAKAGE CURRENT REDUCTION APPR...
FORCED STACK SLEEP TRANSISTOR (FORTRAN): A NEW LEAKAGE CURRENT REDUCTION APPR...FORCED STACK SLEEP TRANSISTOR (FORTRAN): A NEW LEAKAGE CURRENT REDUCTION APPR...
FORCED STACK SLEEP TRANSISTOR (FORTRAN): A NEW LEAKAGE CURRENT REDUCTION APPR...
 
Comparative Performance Analysis of XORXNOR Function Based High-Speed CMOS Fu...
Comparative Performance Analysis of XORXNOR Function Based High-Speed CMOS Fu...Comparative Performance Analysis of XORXNOR Function Based High-Speed CMOS Fu...
Comparative Performance Analysis of XORXNOR Function Based High-Speed CMOS Fu...
 
Low Power VLSI Design of Modified Booth Multiplier
Low Power VLSI Design of Modified Booth MultiplierLow Power VLSI Design of Modified Booth Multiplier
Low Power VLSI Design of Modified Booth Multiplier
 
Low Power Design of Standard Digital Gate Design Using Novel Sleep Transisto...
Low Power Design of Standard Digital Gate Design Using Novel  Sleep Transisto...Low Power Design of Standard Digital Gate Design Using Novel  Sleep Transisto...
Low Power Design of Standard Digital Gate Design Using Novel Sleep Transisto...
 
Extremely Low Power FIR Filter for a Smart Dust Sensor Module
Extremely Low Power FIR Filter for a Smart Dust Sensor ModuleExtremely Low Power FIR Filter for a Smart Dust Sensor Module
Extremely Low Power FIR Filter for a Smart Dust Sensor Module
 
Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...
Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...
Low Power-Area Design of Full Adder Using Self Resetting Logic with GDI Techn...
 

More from IJEEE

A survey on Energy Efficient ProtocolsLEACH, Fuzzy-based approach and Neural ...
A survey on Energy Efficient ProtocolsLEACH, Fuzzy-based approach and Neural ...A survey on Energy Efficient ProtocolsLEACH, Fuzzy-based approach and Neural ...
A survey on Energy Efficient ProtocolsLEACH, Fuzzy-based approach and Neural ...
IJEEE
 
Implementation of Back-Propagation Neural Network using Scilab and its Conver...
Implementation of Back-Propagation Neural Network using Scilab and its Conver...Implementation of Back-Propagation Neural Network using Scilab and its Conver...
Implementation of Back-Propagation Neural Network using Scilab and its Conver...
IJEEE
 
Automated Air Cooled Three Level Inverter system using Arduino
Automated Air Cooled Three Level Inverter system using ArduinoAutomated Air Cooled Three Level Inverter system using Arduino
Automated Air Cooled Three Level Inverter system using Arduino
IJEEE
 
Id136
Id136Id136
Id136
IJEEE
 
Id135
Id135Id135
Id135
IJEEE
 
An Approach to Speech and Iris based Multimodal Biometric System
An Approach to Speech and Iris based Multimodal Biometric SystemAn Approach to Speech and Iris based Multimodal Biometric System
An Approach to Speech and Iris based Multimodal Biometric System
IJEEE
 
An Overview of EDFA Gain Flattening by Using Hybrid Amplifier
An Overview of EDFA Gain Flattening by Using Hybrid AmplifierAn Overview of EDFA Gain Flattening by Using Hybrid Amplifier
An Overview of EDFA Gain Flattening by Using Hybrid Amplifier
IJEEE
 
Design and Implementation of FPGA Based Low Power Pipelined 64 Bit Risc Proce...
Design and Implementation of FPGA Based Low Power Pipelined 64 Bit Risc Proce...Design and Implementation of FPGA Based Low Power Pipelined 64 Bit Risc Proce...
Design and Implementation of FPGA Based Low Power Pipelined 64 Bit Risc Proce...
IJEEE
 
Design of Image Segmentation Algorithm for Autonomous Vehicle Navigationusing...
Design of Image Segmentation Algorithm for Autonomous Vehicle Navigationusing...Design of Image Segmentation Algorithm for Autonomous Vehicle Navigationusing...
Design of Image Segmentation Algorithm for Autonomous Vehicle Navigationusing...
IJEEE
 
Performance Analysis of GSM Network for Different Types of Antennas
Performance Analysis of GSM Network for Different Types of Antennas Performance Analysis of GSM Network for Different Types of Antennas
Performance Analysis of GSM Network for Different Types of Antennas
IJEEE
 
On the Performance Analysis of Composite Multipath/Shadowing (Weibull-Log Nor...
On the Performance Analysis of Composite Multipath/Shadowing (Weibull-Log Nor...On the Performance Analysis of Composite Multipath/Shadowing (Weibull-Log Nor...
On the Performance Analysis of Composite Multipath/Shadowing (Weibull-Log Nor...
IJEEE
 
Design Analysis of Delay Register with PTL Logic using 90 nm Technology
Design Analysis of Delay Register with PTL Logic using 90 nm TechnologyDesign Analysis of Delay Register with PTL Logic using 90 nm Technology
Design Analysis of Delay Register with PTL Logic using 90 nm Technology
IJEEE
 
Carbon Nanotubes Based Sensor for Detection of Traces of Gas Molecules- A Review
Carbon Nanotubes Based Sensor for Detection of Traces of Gas Molecules- A ReviewCarbon Nanotubes Based Sensor for Detection of Traces of Gas Molecules- A Review
Carbon Nanotubes Based Sensor for Detection of Traces of Gas Molecules- A Review
IJEEE
 
Routing Protocols in Zigbee Based networks: A Survey
Routing Protocols in Zigbee Based networks: A SurveyRouting Protocols in Zigbee Based networks: A Survey
Routing Protocols in Zigbee Based networks: A Survey
IJEEE
 
A Survey of Routing Protocols for Structural Health Monitoring
A Survey of Routing Protocols for Structural Health MonitoringA Survey of Routing Protocols for Structural Health Monitoring
A Survey of Routing Protocols for Structural Health Monitoring
IJEEE
 
Layout Design Analysis of SR Flip Flop using CMOS Technology
Layout Design Analysis of SR Flip Flop using CMOS TechnologyLayout Design Analysis of SR Flip Flop using CMOS Technology
Layout Design Analysis of SR Flip Flop using CMOS Technology
IJEEE
 
Codec Scheme for Power Optimization in VLSI Interconnects
Codec Scheme for Power Optimization in VLSI InterconnectsCodec Scheme for Power Optimization in VLSI Interconnects
Codec Scheme for Power Optimization in VLSI Interconnects
IJEEE
 
Design of Planar Inverted F-Antenna for Multiband Applications
Design of Planar Inverted F-Antenna for Multiband Applications Design of Planar Inverted F-Antenna for Multiband Applications
Design of Planar Inverted F-Antenna for Multiband Applications
IJEEE
 
Design of CMOS Inverter for Low Power and High Speed using Mentor Graphics
Design of CMOS Inverter for Low Power and High Speed using Mentor GraphicsDesign of CMOS Inverter for Low Power and High Speed using Mentor Graphics
Design of CMOS Inverter for Low Power and High Speed using Mentor GraphicsIJEEE
 
Layout Design Analysis of CMOS Comparator using 180nm Technology
Layout Design Analysis of CMOS Comparator using 180nm TechnologyLayout Design Analysis of CMOS Comparator using 180nm Technology
Layout Design Analysis of CMOS Comparator using 180nm Technology
IJEEE
 

More from IJEEE (20)

A survey on Energy Efficient ProtocolsLEACH, Fuzzy-based approach and Neural ...
A survey on Energy Efficient ProtocolsLEACH, Fuzzy-based approach and Neural ...A survey on Energy Efficient ProtocolsLEACH, Fuzzy-based approach and Neural ...
A survey on Energy Efficient ProtocolsLEACH, Fuzzy-based approach and Neural ...
 
Implementation of Back-Propagation Neural Network using Scilab and its Conver...
Implementation of Back-Propagation Neural Network using Scilab and its Conver...Implementation of Back-Propagation Neural Network using Scilab and its Conver...
Implementation of Back-Propagation Neural Network using Scilab and its Conver...
 
Automated Air Cooled Three Level Inverter system using Arduino
Automated Air Cooled Three Level Inverter system using ArduinoAutomated Air Cooled Three Level Inverter system using Arduino
Automated Air Cooled Three Level Inverter system using Arduino
 
Id136
Id136Id136
Id136
 
Id135
Id135Id135
Id135
 
An Approach to Speech and Iris based Multimodal Biometric System
An Approach to Speech and Iris based Multimodal Biometric SystemAn Approach to Speech and Iris based Multimodal Biometric System
An Approach to Speech and Iris based Multimodal Biometric System
 
An Overview of EDFA Gain Flattening by Using Hybrid Amplifier
An Overview of EDFA Gain Flattening by Using Hybrid AmplifierAn Overview of EDFA Gain Flattening by Using Hybrid Amplifier
An Overview of EDFA Gain Flattening by Using Hybrid Amplifier
 
Design and Implementation of FPGA Based Low Power Pipelined 64 Bit Risc Proce...
Design and Implementation of FPGA Based Low Power Pipelined 64 Bit Risc Proce...Design and Implementation of FPGA Based Low Power Pipelined 64 Bit Risc Proce...
Design and Implementation of FPGA Based Low Power Pipelined 64 Bit Risc Proce...
 
Design of Image Segmentation Algorithm for Autonomous Vehicle Navigationusing...
Design of Image Segmentation Algorithm for Autonomous Vehicle Navigationusing...Design of Image Segmentation Algorithm for Autonomous Vehicle Navigationusing...
Design of Image Segmentation Algorithm for Autonomous Vehicle Navigationusing...
 
Performance Analysis of GSM Network for Different Types of Antennas
Performance Analysis of GSM Network for Different Types of Antennas Performance Analysis of GSM Network for Different Types of Antennas
Performance Analysis of GSM Network for Different Types of Antennas
 
On the Performance Analysis of Composite Multipath/Shadowing (Weibull-Log Nor...
On the Performance Analysis of Composite Multipath/Shadowing (Weibull-Log Nor...On the Performance Analysis of Composite Multipath/Shadowing (Weibull-Log Nor...
On the Performance Analysis of Composite Multipath/Shadowing (Weibull-Log Nor...
 
Design Analysis of Delay Register with PTL Logic using 90 nm Technology
Design Analysis of Delay Register with PTL Logic using 90 nm TechnologyDesign Analysis of Delay Register with PTL Logic using 90 nm Technology
Design Analysis of Delay Register with PTL Logic using 90 nm Technology
 
Carbon Nanotubes Based Sensor for Detection of Traces of Gas Molecules- A Review
Carbon Nanotubes Based Sensor for Detection of Traces of Gas Molecules- A ReviewCarbon Nanotubes Based Sensor for Detection of Traces of Gas Molecules- A Review
Carbon Nanotubes Based Sensor for Detection of Traces of Gas Molecules- A Review
 
Routing Protocols in Zigbee Based networks: A Survey
Routing Protocols in Zigbee Based networks: A SurveyRouting Protocols in Zigbee Based networks: A Survey
Routing Protocols in Zigbee Based networks: A Survey
 
A Survey of Routing Protocols for Structural Health Monitoring
A Survey of Routing Protocols for Structural Health MonitoringA Survey of Routing Protocols for Structural Health Monitoring
A Survey of Routing Protocols for Structural Health Monitoring
 
Layout Design Analysis of SR Flip Flop using CMOS Technology
Layout Design Analysis of SR Flip Flop using CMOS TechnologyLayout Design Analysis of SR Flip Flop using CMOS Technology
Layout Design Analysis of SR Flip Flop using CMOS Technology
 
Codec Scheme for Power Optimization in VLSI Interconnects
Codec Scheme for Power Optimization in VLSI InterconnectsCodec Scheme for Power Optimization in VLSI Interconnects
Codec Scheme for Power Optimization in VLSI Interconnects
 
Design of Planar Inverted F-Antenna for Multiband Applications
Design of Planar Inverted F-Antenna for Multiband Applications Design of Planar Inverted F-Antenna for Multiband Applications
Design of Planar Inverted F-Antenna for Multiband Applications
 
Design of CMOS Inverter for Low Power and High Speed using Mentor Graphics
Design of CMOS Inverter for Low Power and High Speed using Mentor GraphicsDesign of CMOS Inverter for Low Power and High Speed using Mentor Graphics
Design of CMOS Inverter for Low Power and High Speed using Mentor Graphics
 
Layout Design Analysis of CMOS Comparator using 180nm Technology
Layout Design Analysis of CMOS Comparator using 180nm TechnologyLayout Design Analysis of CMOS Comparator using 180nm Technology
Layout Design Analysis of CMOS Comparator using 180nm Technology
 

Recently uploaded

Immunizing Image Classifiers Against Localized Adversary Attacks
Immunizing Image Classifiers Against Localized Adversary AttacksImmunizing Image Classifiers Against Localized Adversary Attacks
Immunizing Image Classifiers Against Localized Adversary Attacks
gerogepatton
 
HYDROPOWER - Hydroelectric power generation
HYDROPOWER - Hydroelectric power generationHYDROPOWER - Hydroelectric power generation
HYDROPOWER - Hydroelectric power generation
Robbie Edward Sayers
 
MCQ Soil mechanics questions (Soil shear strength).pdf
MCQ Soil mechanics questions (Soil shear strength).pdfMCQ Soil mechanics questions (Soil shear strength).pdf
MCQ Soil mechanics questions (Soil shear strength).pdf
Osamah Alsalih
 
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
Amil Baba Dawood bangali
 
Water Industry Process Automation and Control Monthly - May 2024.pdf
Water Industry Process Automation and Control Monthly - May 2024.pdfWater Industry Process Automation and Control Monthly - May 2024.pdf
Water Industry Process Automation and Control Monthly - May 2024.pdf
Water Industry Process Automation & Control
 
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&BDesign and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
Sreedhar Chowdam
 
power quality voltage fluctuation UNIT - I.pptx
power quality voltage fluctuation UNIT - I.pptxpower quality voltage fluctuation UNIT - I.pptx
power quality voltage fluctuation UNIT - I.pptx
ViniHema
 
CME397 Surface Engineering- Professional Elective
CME397 Surface Engineering- Professional ElectiveCME397 Surface Engineering- Professional Elective
CME397 Surface Engineering- Professional Elective
karthi keyan
 
The Benefits and Techniques of Trenchless Pipe Repair.pdf
The Benefits and Techniques of Trenchless Pipe Repair.pdfThe Benefits and Techniques of Trenchless Pipe Repair.pdf
The Benefits and Techniques of Trenchless Pipe Repair.pdf
Pipe Restoration Solutions
 
ASME IX(9) 2007 Full Version .pdf
ASME IX(9)  2007 Full Version       .pdfASME IX(9)  2007 Full Version       .pdf
ASME IX(9) 2007 Full Version .pdf
AhmedHussein950959
 
Planning Of Procurement o different goods and services
Planning Of Procurement o different goods and servicesPlanning Of Procurement o different goods and services
Planning Of Procurement o different goods and services
JoytuBarua2
 
Hybrid optimization of pumped hydro system and solar- Engr. Abdul-Azeez.pdf
Hybrid optimization of pumped hydro system and solar- Engr. Abdul-Azeez.pdfHybrid optimization of pumped hydro system and solar- Engr. Abdul-Azeez.pdf
Hybrid optimization of pumped hydro system and solar- Engr. Abdul-Azeez.pdf
fxintegritypublishin
 
Cosmetic shop management system project report.pdf
Cosmetic shop management system project report.pdfCosmetic shop management system project report.pdf
Cosmetic shop management system project report.pdf
Kamal Acharya
 
Hierarchical Digital Twin of a Naval Power System
Hierarchical Digital Twin of a Naval Power SystemHierarchical Digital Twin of a Naval Power System
Hierarchical Digital Twin of a Naval Power System
Kerry Sado
 
H.Seo, ICLR 2024, MLILAB, KAIST AI.pdf
H.Seo,  ICLR 2024, MLILAB,  KAIST AI.pdfH.Seo,  ICLR 2024, MLILAB,  KAIST AI.pdf
H.Seo, ICLR 2024, MLILAB, KAIST AI.pdf
MLILAB
 
Top 10 Oil and Gas Projects in Saudi Arabia 2024.pdf
Top 10 Oil and Gas Projects in Saudi Arabia 2024.pdfTop 10 Oil and Gas Projects in Saudi Arabia 2024.pdf
Top 10 Oil and Gas Projects in Saudi Arabia 2024.pdf
Teleport Manpower Consultant
 
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
obonagu
 
WATER CRISIS and its solutions-pptx 1234
WATER CRISIS and its solutions-pptx 1234WATER CRISIS and its solutions-pptx 1234
WATER CRISIS and its solutions-pptx 1234
AafreenAbuthahir2
 
Investor-Presentation-Q1FY2024 investor presentation document.pptx
Investor-Presentation-Q1FY2024 investor presentation document.pptxInvestor-Presentation-Q1FY2024 investor presentation document.pptx
Investor-Presentation-Q1FY2024 investor presentation document.pptx
AmarGB2
 
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
bakpo1
 

Recently uploaded (20)

Immunizing Image Classifiers Against Localized Adversary Attacks
Immunizing Image Classifiers Against Localized Adversary AttacksImmunizing Image Classifiers Against Localized Adversary Attacks
Immunizing Image Classifiers Against Localized Adversary Attacks
 
HYDROPOWER - Hydroelectric power generation
HYDROPOWER - Hydroelectric power generationHYDROPOWER - Hydroelectric power generation
HYDROPOWER - Hydroelectric power generation
 
MCQ Soil mechanics questions (Soil shear strength).pdf
MCQ Soil mechanics questions (Soil shear strength).pdfMCQ Soil mechanics questions (Soil shear strength).pdf
MCQ Soil mechanics questions (Soil shear strength).pdf
 
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
 
Water Industry Process Automation and Control Monthly - May 2024.pdf
Water Industry Process Automation and Control Monthly - May 2024.pdfWater Industry Process Automation and Control Monthly - May 2024.pdf
Water Industry Process Automation and Control Monthly - May 2024.pdf
 
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&BDesign and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
 
power quality voltage fluctuation UNIT - I.pptx
power quality voltage fluctuation UNIT - I.pptxpower quality voltage fluctuation UNIT - I.pptx
power quality voltage fluctuation UNIT - I.pptx
 
CME397 Surface Engineering- Professional Elective
CME397 Surface Engineering- Professional ElectiveCME397 Surface Engineering- Professional Elective
CME397 Surface Engineering- Professional Elective
 
The Benefits and Techniques of Trenchless Pipe Repair.pdf
The Benefits and Techniques of Trenchless Pipe Repair.pdfThe Benefits and Techniques of Trenchless Pipe Repair.pdf
The Benefits and Techniques of Trenchless Pipe Repair.pdf
 
ASME IX(9) 2007 Full Version .pdf
ASME IX(9)  2007 Full Version       .pdfASME IX(9)  2007 Full Version       .pdf
ASME IX(9) 2007 Full Version .pdf
 
Planning Of Procurement o different goods and services
Planning Of Procurement o different goods and servicesPlanning Of Procurement o different goods and services
Planning Of Procurement o different goods and services
 
Hybrid optimization of pumped hydro system and solar- Engr. Abdul-Azeez.pdf
Hybrid optimization of pumped hydro system and solar- Engr. Abdul-Azeez.pdfHybrid optimization of pumped hydro system and solar- Engr. Abdul-Azeez.pdf
Hybrid optimization of pumped hydro system and solar- Engr. Abdul-Azeez.pdf
 
Cosmetic shop management system project report.pdf
Cosmetic shop management system project report.pdfCosmetic shop management system project report.pdf
Cosmetic shop management system project report.pdf
 
Hierarchical Digital Twin of a Naval Power System
Hierarchical Digital Twin of a Naval Power SystemHierarchical Digital Twin of a Naval Power System
Hierarchical Digital Twin of a Naval Power System
 
H.Seo, ICLR 2024, MLILAB, KAIST AI.pdf
H.Seo,  ICLR 2024, MLILAB,  KAIST AI.pdfH.Seo,  ICLR 2024, MLILAB,  KAIST AI.pdf
H.Seo, ICLR 2024, MLILAB, KAIST AI.pdf
 
Top 10 Oil and Gas Projects in Saudi Arabia 2024.pdf
Top 10 Oil and Gas Projects in Saudi Arabia 2024.pdfTop 10 Oil and Gas Projects in Saudi Arabia 2024.pdf
Top 10 Oil and Gas Projects in Saudi Arabia 2024.pdf
 
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
 
WATER CRISIS and its solutions-pptx 1234
WATER CRISIS and its solutions-pptx 1234WATER CRISIS and its solutions-pptx 1234
WATER CRISIS and its solutions-pptx 1234
 
Investor-Presentation-Q1FY2024 investor presentation document.pptx
Investor-Presentation-Q1FY2024 investor presentation document.pptxInvestor-Presentation-Q1FY2024 investor presentation document.pptx
Investor-Presentation-Q1FY2024 investor presentation document.pptx
 
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
 

A Survey on Low Power VLSI Designs

  • 1. Int. Journal of Electrical & Electronics Engg. Vol. 2, Spl. Issue 1 (2015) e-ISSN: 1694-2310 | p-ISSN: 1694-2426 NITTTR, Chandigarh EDIT -2015 56 A Survey on Low Power VLSI Designs Raj Kumari1 , Madhu Priya2 , Mr. Subhash Chand3 1,2 PG Scholar, Department of ECE, NITTTR, Chandigarh, India 3 Associate Engineer HCL Infosystems, Noida, India 1 kumari09raj@gmail.com, 2 madhu19feb.89@gmail.com, 3 thakur.subhash578@gmail.com Abstract- In today’s modern electronics industries energy or power efficiency is most important feature to increase the speed, portability, reliability, popularity and efficiency of electronic products. Reduction in power consumption or low power requirement for a system adds features of low cost, high speed, more efficiency and reliability. CMOS technology is a popular name in the field of low power systems. In the field of CMOS technology various methods are used to make the systems more power efficient like, use of Sleepy transistors, Stack method in which transistor length or width is increased to get reduction in leakage power, use of pre-computation technique with the use of BDD (Binary Decision Diagram), use of SRAM (Static Random Access Memory) for high speed operations. In this paper we survey low power systems in which various techniques are used to reduce the power consumption in different circuit areas of the system to get more power efficient and cost effective electronic systems. Keywords: Power consumption, Leakage power, Flip-Flop, CMOS, BDD, Sleepy Transistor, Adders. I. INTRODUCTION In VLSI a few years ago cost, reliability, area, performance, delays were considered as of major concern. But as the time passes power becomes an area of major concern. Now-a-days lower power consumption is one of the important challenges for VLSI system designers. It is important to save power in the electronic circuits without compromising state integrity or performance [3]. Also in this era of integration because of the popularity of portable electronics products or battery operated electronics systems, low power systems becomes more popular. On reducing the power consumption battery life increases as well as overheating of the circuits can be removed. A major application of low power consumption is in the field of mobile technology, as these are battery operated devices. There are so many power factors in a circuit i.e. switching power, short circuit power, power in capacitance, gate current, source leakage current, input rise time, leakage power which affects the power consumption of a device. And by controlling any of these, we can control the power consumption in an electronics circuit. We analyze several existing designs to verify different power optimization techniques at different circuit levels. Reduction in power also increases the reliability and efficiency of a device. With this important need of low power consumption systems development of CMOS technology comes into existence. These devices are best known for their low power requirements. But it is not enough to use only CMOS devices to minimize the power requirement of system. In CMOS devices power dissipation depends on charging and discharging circuit nodes, where capacitors are connected and switching of these capacitor transitions per clock cycles. Another important dependence factor is transition due to the short circuit current flowing from supply to ground and one more is leakage current in the circuit. The results of these factors are switching activity power, short circuit power and leakage current power. To minimize the total dissipated power in the circuit we have to minimize all these three powers by applying optimization at different levels of abstraction i.e. circuit, logic, architecture and system level. This also focuses on industrial and academic research to minimize power dissipation at various abstraction levels. One another reason for low power requirement is that, due to the expensive packaging techniques, cooling management system, which increases the density by a huge factor on chip. II. TECHNIQUES FOR LOW POWER CONSUMPTION In this section, we bring the main schemes which we have surveyed from large number of papers. In these all energy efficient techniques power abstraction is being done at different levels of circuit [1]. Power Reduction at Logic Level Power dissipation at logic level is most difficult to be optimized or minimized, because of latches and flip-flops. At logic level it is most important to reduce power in flip- flops and clock distribution networks (CDN) [5]. One technique utilized is clock gating. At logic level flip-flops and latches are basic elements. In flip-flops we observed if we use Pulse triggered flip-flop designs then because of their shorter discharging paths they will consume low power in the circuit. A second case at logic level is a large amount of power is consumed in clocking. And this power can be minimized or optimized if we replace simple flip-flops with multi bit flip- flops [9]. Power can be minimized because in multi bit, two bit flip-flops can share the same clock. Hence power consumed by clocking can be reduced further by replacing several flip-flops with multi bit flip-flops. Therefore less
  • 2. Int. Journal of Electrical & Electronics Engg. Vol. 2, Spl. Issue 1 (2015) e-ISSN: 1694-2310 | p-ISSN: 1694-2426 57 NITTTR, Chandigarh EDIT-2015 number of clock sinks exists, and that clock network would have smaller power consumption. One more pre-computation technique is used in CMOS circuits to minimize power consumption i.e. use of BDD (Binary Decision Diagrams) [14]. BDD is directed acyclic graph (DAG), which represents a Boolean function as a sum of disjoint product form [18]. BDD are binary decision trees for any expression and these are MUX (Multiplexer) based realization. Number of MUX required for a circuit depends on the BDD tree [11]. This MUX realization for a circuit is done using different power reduction techniques. Power Reduction at Arithmetic and Logical Unit (ALU) In most of the electronic circuits which are designed using VLSI technique an arithmetic logical unit is present which is used to perform arithmetic operations and calculations like addition, subtraction, multiplication and division are performed. And it is noticeable that most of the power is consumed at this part of circuit. To reduce this power consumption we can use different adder circuits in ALU which have less power requirements. We have surveyed some of these circuits which reduces the power consumption by an important factor by applying different techniques. Generally transistors are used to build these important adder circuitry. As with advancement in integration technology the size of chips shrinking day by day and density of transistors or other components is increasing by a huge amount [12]. And because of this leakage currents increases considerably, thus increases the power consumption. Various techniques are used to reduce this power consumption and one of these techniques is Stack method using sleepy transistors [21]. A sleepy transistor method gives a leakage power reduction with 12.486 ụw as compare to other methods. In this method power reduction can be achieved by increasing length or width , by using clock gating, by implementing multiple threshold CMOS etc [13]. Also a major use of ALU is in Mobile technology or in microprocessors [10]. Thus an important application of low power consumption is in the field of mobile technology. As these are using adders in ALU and also these are battery operated devices. To save power we generally put device in stand-by mode in which a portion of circuit is disconnected from power or shut down. As mentioned above a leakage current also consume power when it is off. Now to reduce this leakage power here again we can utilize the properties of Sleepy transistor. In this technique of power gating Sleep transistor is added between actual ground rail and circuit ground or virtual ground. Thus device is turned off to cut-off the leakage current. In this way sleepy transistor is used in applications of low power consumption to reduce to total consumed power. In high performance and portable applications to increase energy efficiency one more adder used in ALU is SERF full adder [24]. SERF full adder is an optimized full adder which is based on XOR gate [19] [20] [22]. Full adders are utilized in multiplier modules of DSP filters. By knowing the fact that in case of adders speed is limited by time taken by carry to propagate through adder, Which also increases the total power consumption of adders. An adder CSLA (Carry Select Adder) [45] is developed which is a compromise between small area longer delay and longer area shorter delays [29]. It uses multiple pairs of ripple carry adder (RCA) to generate partial sum and carry by considering carry input either 0 or 1[30]. Then final sum and carry are selected by multiplexer. Power Reduction at Circuit Level Power reduction at circuit level can be done by reducing power consumption across transistors or components used on the chip. One technique to reduce power at circuit level is to use MOS transistor in di-erent modes i.e. weak and strong inversion layers. These di-erent modes of transistors can be implemented using CNN (Cellular Neural Network) [34]. CNN model is main characteristic of low power consumption, programmability [25]. Chua—Yang model is generally used for simple circuits which is a special type of analog, non-linear processor array [43]. Due to regularity, parallelism and local connectivity found in CNN circuit array it is used for VLSI implementation. To reduce power consumption we process the small currents using MOS transistors in weak inversion for all di-erential pairs. Second low power consumption technique is to use Scaling and Voltage Islands [44]. This technique partitions the circuit into multiple voltage and frequency islands which reduces the dynamic and leakage power. This technique is similar to the Sleepy transistor technique in which transistor switches off the portion of circuits which are in rest state or not active, to reduce the power consumption. Same is done here using voltage Islands [26]. Voltage Islands reduces the power by selectively shutting down the different portion of chip and run only selected parts at different voltage and frequency levels. This is done at software level with the compiler support using voltage islands. This technique has better performance, energy savings and voltage scaling of memory.
  • 3. Int. Journal of Electrical & Electronics Engg. Vol. 2, Spl. Issue 1 (2015) e-ISSN: 1694-2310 | p-ISSN: 1694-2426 NITTTR, Chandigarh EDIT -2015 58 Power Reduction at Bus Interfaces An idea to reduce power dissipation in circuits comes from the fact that an important part of power is dissipated by the buses [39], which are used for the communication of circuit components. Thus to reduce power dissipation efforts are made for low power bus design. As a result a technique Bus – invert (BI) [33] [46] Coding is designed to reduce the power dissipation by reducing the number of bus transitions. It is very simple and usable technique because of which a large number of BI (Bus- Invert) algorithms are developed [35]. A major drawback of Bus-Invert technique is, increase in bus areas and because of which degradation occurs into its transition [36]. Therefore a new technique is introduced to overcome this limitation of BI technique. This new technique is TBIC (Two-bit Bus Invert Coding) [37]. It divides an n- bit bus into n/2 sub-buses of width 2 and BI coding is individually applied to each sub-bus [38] . It reduces the bus transition by about 45.7%. Hence total power dissipation reduces as the bus transitions get reduced. III. CONCLUSION In this paper, we have surveyed various energy efficient techniques from device level to thee architecture level to overcome the problem of power dissipation. Through this whole survey we observed how devices, circuits and architecture within the design space can be optimized for minimum energy consumption. There are so many power factors in a circuit like switching power, short circuit power, power in capacitance, gate current, source leakage current, input rise time, leakage power, which affect the power consumption of a device. And by controlling any of these factors we can control the power consumption in a circuit. It is observed that a number of parameters like leakage current, input supply voltage level, frequency of operation, load capacitance, rise time, switching power, power dissipation capacitance and loading effect directly affects the power consumption of a circuit. Thus if, power consumption is reduced it results in so many benefits like, no requirement of heat sinks because of very less heat generation in the circuit due to low power. Also circuit size get reduced due to the removal of heat sinks or other components associated with high temperature. Due to small sizes reliability of system also increases and cost of product get reduced. Low power consumption also increases the portability and life of battery in all the battery operated systems. In future we will work on an energy efficient design which includes these all power consumption reduction techniques at different circuit levels and thus get minimum total power consumption. REFERENCES [1] M. J. Bharath, “Optimization Techniques for Low Power VLSI Circuits,” Middle-East Journal of Scientific Research, pp. 1082-1087, September 2014. [2] S. Kong, S. S. Kim, Y. H. Jun, "Conditional Capture Flip Flop Technique for Statistical Power Reduction," Digest of Technical Papers, pp. 290-291, February 2000. [3] B. Nikolic, V. G. Oklobdzija, V. Stajanovic, W. Jia, J. K. Chiu, and M. M. Leung, “Improved Sense-Amplifier Based Flip Flop: Design And Measurements,” IEEE J. Solid-State Circuits, Vol. 35, No. 6, pp. 876–883, June 2000. [4] B. Voss and M. Glesner, “A Low Power Sinusoidal Clock” Proc. IEEE, Vol. 4, pp. 108–111, May 2001. [5] P. Jose, “An optimal flip flop design for VLSI power minimization,” International Journal of Advances in Engineering & Technology, March 2014. [6] A. P. Chandrakasan and R. W. Broderson, "Minimizing Power Consumption in Digital CMOS Circuits" Proc. IEEE, Vol. 83, No.4, pp. 498- 523, April 1995. [7] P. K. S. Vasundara, and K. S. Gurumurthy, "Quaternary CMOS Combinational Logic Circuits" IEEE International Conference on Information and Multimedia Technology, pp. 538-542, December 2009. [8] A. Karandikar, K. K. Parhi, "Low Power SRAM Design Using Hierarchical Divided Bit-Line Approach" International Conference on Computer Design: VLSI in Computers and Processors, pp. 82-88, 5-7 October 1998. [9] L. Angel Prabha, S. Joy, “Low Power Multi Bit Flip Flops Design for VLSI Circuits” International Journal of Innovative Research in Computer and Communication Engineering, Proc. International Conference On Global Innovations In Computing Technology, Vol. 2, March 2014. [10]P. Gronowski, W. J. Bowhill, R. P. Preston, M. K. Gowan and R. L. Allmon, “High-Performance Microprocessor Design” IEEE Journal of Solid-State Circuits, Vol. 33, May 1998. [11]N. S. Pradhan, G. Paul, A. Pal and B. B. Bhattacharya, “Power Aware BDD-based Logic Synthesis Using Adiabatic Multiplexers” 4th International Conference on Electrical and Computer Engineering, December 2006. [12]N. West and K. Eshragian, “Principles of CMOS VLSI Design : A systems Perspective”, Addisonwesley 1993. [13]Ku He, Rong Luo, Yu Wang, "A Power Gating Scheme for Ground Bounce Reduction During Mode Transition" ICCD07, pp. 388-394, 2007. [14]P. K. Sharma1, M. Kumar, “Implementation of BDDs by Various Techniques in Low Power VLSI Design” International Journal on Recent Trends in Engineering and Technology, Vol. 10, No. 2, January 2014. [15]R. Pedram R. and M. Pedram M. “Low Power Design Methodologies”, Kluwer Academic, US, 1996. [16]N. Tzartzanis and W. C. Athas, “Design and analysis of a Low-Power Energy-Recovery Adder”, IEEE Journal of Solid State design Proc. of the IEEE Great Lakes Symposium on VLSI, pp. 66-69, 1995. [17]K. P. Parhi, “Fast Low-Energy VLSI Binary Addition”, Proceedings of the International Conference on Computer Design, pp. 676-684, 1997. [18]S. B. Akers, “Binary decision diagrams”, IEEE Conference on Computers, Vol. C-27, No. 6, pp. 509-516, 1978. [19]A. M. Shams and M. A. Bayoumi, “A Novel High- Performance CMOS L-Bit Full-Adder Cell”, IEEE Transactions on circuits and systems-II: Analog and digital signal processing, Vol. 47, No.5, pp. 478-481, May 2000. [20]Y. Jiang, A. Al-Sheraidah, Y. Wang, E. Sha, and J. Gyun Chung, “A Novel Multiplexer-Based Low Power Full Adder”, IEEE Transactions on Circuits and system-II, Vol. 5, No. 7, July 2004.
  • 4. Int. Journal of Electrical & Electronics Engg. Vol. 2, Spl. Issue 1 (2015) e-ISSN: 1694-2310 | p-ISSN: 1694-2426 59 NITTTR, Chandigarh EDIT-2015 [21]P. Nagarani1, B. Prasannajyothi, D. Naga Poornima, “Design And Implementation Of Sleep Transistor Based Low Power CMOS Design For Submicron VLSI Technologies”, International Journal of Advanced Technology in Engineering and Science Vol. 02, No. 09, September 2014. [22]E. S. Chew, M. W. Phyu, and W. L. Goh, “Ultra Low-Power Full- Adder for Biomedical Applications”, IEEE International Conference of Electron Devices and Solid-State Circuits, 2009, pp. 115 – 1182, December 2009. [23]S. Mutohetal, “1-V Power Supply High-speed Digital Circuit Technology with Multi threshold-Voltage CMOS,” IEEE Journal of Solid-State Circuits, Vol. 30, No. 8, pp. 847-854, 1995. [24]T. Pardhu, S. Manusha and K. Sirisha, “Low power VLSI Compressors for Biomedical Applications”, Natarajan Meghanathanetal (Eds):ICCSEA, SPPR, VLSI, WiMoA, SCAI, CNSA, pp. 111–120, 2014. [25]E. A. Vittoz, “CMOS Transistors Operated In The Lateral Bipolar Mode And Their Application In CMOS Technology,” IEEE Journal Solid State Circuits, Vol. SC-18, Pp. 273-279, June 1983. [26]B. Liu, y. Cai, Q. Zhou and X. Hong “Effective Power Optimization Under Timing & Voltage Island Constraints Via Simultaneous VDD Vth Assignments, Gate Sizing & Placement,” Vol. 27, February 2011. [27]A. S. Gaur, J. Budakoti, “Energy Efficient Advanced Low Power CMOS Design to reduce power consumption in Deep Submicron Technologies in CMOS Circuit for VLSI Design” International Journal of Advanced Research in Computer and Communication Engineering, Vol. 3, No. 6, June 2014. [28]W. Y. Jang, pan, D. Z. “A Voltage Frequency Island Aware Energy Optimization Framework for NOC”, IEEE Trans., vol. 55, 2011. [29]O. J. Bedrij, “Carry-select adder,” IRE Trans. Electronic Computing., pp. 340–344, 1962. [30]Quartus II user manual. [31]Y. He, C. H. Chang, and J. Gu, “An Area Efficient 64-Bit Square Root Carry-Select Adder For Low Power Applications”, Proc. IEEE Int. Symp. Circuits Systems, vol. 4, pp. 4082-4085, 2005. [32]B. Ramkumar and Harish M. Kittur “Low-Power and Area Efficient Carry Select Adder” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol. 20, No. 2, pp. 371-375, 2012. [33]M. R. Stan and W. P. Burleson, “Bus-invert coding for low power I/O”, IEEE Trans. VLSI Syst., vol. 3, pp. 49–58, March 1995. [34]S. Chauhan, J. Sharma and P. Soni, “Low Power VLSI Implementation in Image Processing using Programmable CNN”, International Journal of Advanced Research in Computer Engineering & Technology (IJARCET), Vol. 3, No. 5, May 2014. [35]Y. Shin, S. I. Chae, and K. Choi, “Partial bus-invert coding For power optimization of application specific systems”, IEEE Trans. VLSI Syst., vol. 9, pp. 377-383, April 2001. [36]U. Narayanan, K. S. Chung, and T. Kim, “Enhanced Bus Invert Encodings For Low-Power”, IEEE Int. Symp. on Circuits and Systems, Vol. 5, pp. 25-28, May 2002. [37]M. Yoon and B. Roh, "A Novel Low-Power Bus Design For Bus Invert Coding", IEICE Trans. Electronics, Vol. E90-C, No. 4, pp. 731-734, 2007. [38]M. Yoon "Achieving Maximum Performance For Bus-Invert Coding With Time-Splitting Transmitter Circuit", IEICE Trans. Fundamentals, Vol. E95-A, No. 12, pp. 2357-2363, 2012. [39]The MOSIS Service, http://www.mosis.com/[Online. [40]G. Moore, “Progress in Digital Integrated Electronics”, IEDM Tech. Digest, pp. 11–13, 1975. [41]B. Hoeneisen and C. A. Mead, “Fundamental Limitations in Microelectronics”, I MOS Technology, ǁ Solid State Electron pp. 815- 819, 1972. [42]S. Takagi, M. Takaytanagi -Takagi, and A. Toriumi, “Accurate Characterization of Electron and Hole Inversion-Layer Capacitance and Its Impact on Low Voltage Operation of Scaled MOSFETs”, IEDM Tech. Digest, pp. 619 – 622, 1998. [43] “Special Issue on Cellular Neural Networks”, IEEE Trans. Circuits Syst., Vol. 40, Mar. 1993. [44]V. P. M. B. Aarthi1, M. Kiruthiga, “A VLSI Based Low Power Approach Using Dynamic Voltage Scaling & Voltage Islands For Embedded Processor” ISSN (Online) IJECEAR, pp. 2347-9329, Vol. 2, No. 1, February 2014. [45]Arunprasath S., Karthick A. and D. kumar, “VLSI Implementation and Analysis of Parallel Adders for Low Power Applications”, International Journal of Computer Science and Mobile Computing, Vol. 3, No. 2, pp. 181-186 February 2014. [46]M. Yoon, “A Two-bit Bus-Invert Coding Scheme with a Mid-level State Bus-Line for Low Power VLSI Design”, Journal Of Semiconductor Technology and Science, Vol.14, No.4, August 2014. [47]M. Abbas, C. Shukla, A. Kavitha, R. K., “Sub – 1V Ultra Low Power Voltage Reference”, IEEE International Conference on VLSI Systems, Architecture, Technology and Applications, pp. 1-4, January 2015. [48] Klavakolanu, S. R. Sastry “A Review Report On Low Power VLSI Systems Analysis And Modeling Techniques”, IEEE International Conference on Signal Processing And Communication Engineering Systems, pp. 142-146, January 2015.