SlideShare a Scribd company logo
Scientific Journal Impact Factor (SJIF): 1.711
International Journal of Modern Trends in Engineering
and Research
www.ijmter.com
@IJMTER-2014, All rights Reserved 89
e-ISSN: 2349-9745
p-ISSN: 2393-8161
Analysis of Power Dissipation & Low Power VLSI
Chip Design
Ms. Anshu N. Adwani1
, Mr. Hitesh V. Chopade2
, Prof. Swapnil S. Jain3
1, 2
M. Tech (Electronics & Communication Engineering),DMIETR, Wardha, Maharashtra, India.
3
Asst. Professor Electronics &Telecommunication Engineering, DMIETR, Wardha, Maharashtra,
India
Abstract—Low power requirement has become a principal motto in today’s world of electronics
industries. Power dissipation has becoming an important consideration as performance and area for
VLSI Chip design. With reducing the chip size, reduced power consumption and power management
on chip are the key challenges due to increased complexity. Low power chip requirement in the
VLSI industry is main considerable field due to the reduction of chip dimension day by day and
environmental factors. For many designs, optimization of power is important as timing due to the
need to reduce package cost and extended battery life. This paper present various techniques to
reduce the power requirement in various stages of CMOS designing i.e. Dynamic Power
Suppression, Adiabatic Circuits, Logic Design for Low Power, Reducing Glitches, Logic Level
Power Optimization, Standby Mode Leakage Suppression, Variable Body Biasing, Sleep Transistors,
Dynamic Threshold MOS, Short Circuit Power Suppression.
Keywords-Low power, VLSI, CMOS, package cost, battery life, power dissipation.
I. INTRODUCTION
In modern era VLSI design efforts have focused primarily on increasing the speed to realize
computationally intensive functions such as video compression, gaming &graphics etc. So, we have
semiconductor ICs that successfully integrated various complex signal processing modules &
graphical processing units to meet our requirement. While these solutions have addressed the real-
time problem, they haven’t addressed the demand for portable high speed operation, where mobile
phone needs to design with all this without consuming much power. The strict limitation on total
power dissipation in portable electronics applications like smart phones and tablet computers must be
met by the VLSI chip designer while still meeting the computational requirements. But wireless
devices are rapidly making their importance to the consumer electronics market; a key design
component for portable operation namely the total power consumption of the device must be
addressed. Lowering the total power consumption in these systems is important since it is desirable
to maximize the run time with minimum requirements on size, battery support and required weight of
batteries. So the most useful factor to consider while designing SOC for portable devices is 'low
power design'.[1]
II. PROBLEM ASSOCIATED WITH POWER DISSIPATION
Scaling of technology node increases power-density more than expected. CMOS technology
beyond 65nm node arises a real challenge for any sort of voltage and frequency scaling Starting from
120nm node, each new process has obvious higher dynamic and leakage current density with
minimum increase in speed. Between 90nm to 65nm the dynamic power dissipation is almost same
International Journal of Modern Trends in Engineering and Research (IJMTER)
Volume 02, Issue 01, [January - 2015] e-ISSN: 2349-9745, p-ISSN: 2393-8161
@IJMTER-2014, All rights Reserved 90
whereas there is ~5% higher leakage/mm2 [3]
.Low cost always require higher levels of integration,
whereas less costly technological breakthroughs to keep power under control are getting very scarce.
III. DO WE NEED TO BOTHER WITH POWER?
Power dissipation is the main look up when it comes to Portability. The mobile device
consumer keeps demanding more features and extended battery life at a lower cost. About 70% of
users require longer talk-time and maximum stand-by time as primary mobile phone feature. For 3G
technology we require more power efficiency, consumer also needs smaller size gadgets. It requires
high levels of Silicon integration in advanced processes, where as advanced processes have
inherently higher leakage current. So we must need to consider leakage current while designing low
power gadgets.
IV. WHY POWER MATTERS IN SOC?[4]
Power Management useful in System on Chip because of following concerns:
1. Packaging & Cooling costs.
2. Digital noise immunity,
3. Battery life of portable systems
4. Environmental issues.
V. SOURCES OF POWER DISSIPATION
The power dissipation in circuit is classified into three classes as described below.
1. Dynamic power consumption: Because of logic transitions causing logic gates to
charge/discharge load capacitance.
2. Short-circuit current: In a CMOS logic P-branch and N-branch are momentarily shorted as
logic gate changes state for short circuit power dissipation.
3. Leakage current:It occurs when the system is in standby mode or not powered. There are
various sources of leakage current in MOSFET[7]
. Diode leakages around transistors &n-wells,
Sub-threshold Leakage, Gate Leakage, Tunnel Currents etc. Increasing 20 times for each new
fabrication technology.
VI. LOW-POWER DESIGN TECHNIQUES
An integrated low power methods requires optimization at all design abstraction layers as below:
1. System: Partitioning, Power lowering.
2. Algorithm: Complexity in design, Concurrency of operation, Regularity in working.
3. Architecture: Parallelism of operations, Pipelining of processes, Redundancy of data, Data
Encoding & decoding.
4. Circuit Logic: Logic design Styles, Energy Recovery methods, component sizing.
5. Technology: Threshold Reduction capability, Multi Threshold Devices.
Dynamic power varies as VDD2. So lowering the supply voltage reduces power dissipation.
The selective frequency reduction technique used to lower the dynamic power requirement[4]
. At
system level, multi threshold voltage can be used to reduce leakage power. For speed-up circuit and
reduce power transistor resizing can be used. Sleep transistors can be used efficiently to reduce
standby power. Parallel processing and pipelining can reduce power requirement. Clock disabling,
power-down of selected logic blocks, adiabatic computing, software redesign to lower power
dissipation are the other techniques commonly used for low power design.
International Journal of Modern Trends in Engineering and Research (IJMTER)
Volume 02, Issue 01, [January - 2015] e-ISSN: 2349-9745, p-ISSN: 2393-8161
@IJMTER-2014, All rights Reserved 91
VII. VLSI CIRCUIT DESIGN FOR LOW POWER
The growing market of portable gadgets (cellular phones, gaming remotes) battery-powered
electronic systems require microelectronic circuits design havingultra-low power dissipation. As the
integration, size, and complexity of the chips continue to increase, the difficulty in providing
sufficient cooling might either add significant cost or limit the functionality of the computing
systems which make use of those integrated circuits. The technology node scales down to 65nm there
is not much increase in dynamic power dissipation. However the static power or leakage power is
same as or exceeds the dynamic power below 65nanometer technology node.
So themethods to reduce power dissipation is not limited to dynamic power. In this paper we
approaches to minimize Dynamic, Leakage power dissipation and Short Circuit power dissipation.
Power minimization in a processor can be achieved at various levels of designing. So we need to
optimize or reduce the power requirement of desired circuitry[3]
.
Total Power dissipated in a CMOS circuit is equal to total of dynamic power, short circuit
power and static power or leakage power. Designed structure for low-power requirement implies the
ability to reduce all three parameters of power consumption in CMOS circuits during the designing
of a low power electronic product.
Figure 1.Components of Power in CMOS circuit Ptotal = CLVDD2 + tscVDDIpeak + VDDIleakage
7.1. DYNAMIC POWER SUPPRESSION
Dynamic/Switching power is due to charging and discharging of load capacitors driven by
the circuit. Supply voltage variation has been the most preferred approach to power optimization,
since it normally result in considerable power savings due to the quadratic dependence of
switching/dynamic power PSwitching on supply voltage VDD. However limiting the supply voltage
affects circuit speed which is the major short-coming of this method. So design and technological
solutions must be applied to compensate the decrease in circuit performance introduced by reduced
voltage. Some of the methodology often used to reduce dynamic power are described below.
7.2. ADIABATIC CIRCUITS
In adiabatic circuits instead of dissipating the power is reused. By externally limiting the
length and shape of signal transitions energy spent to flip a bit can be reduced to very small values.
As the diodes have thermodynamic irreversible nature they are not used in the design of Adiabatic
Logic. MOSFETs should not be switched ON when there is significant potential difference between
source and drain. And shouldn’t be TURNOFF when there is a significant current flowing through
gadget.
International Journal of Modern Trends in Engineering and Research (IJMTER)
Volume 02, Issue 01, [January - 2015] e-ISSN: 2349-9745, p-ISSN: 2393-8161
@IJMTER-2014, All rights Reserved 92
Figure 2.Charge Recovery Logic
7.3. LOGIC DESIGN FOR LOW POWER
Selection between static & dynamic topologies, conventional CMOS &pass-transistor logic
styles and synchronous & asynchronous timing styles have to be made while designing a circuit. In
static CMOS circuits, about 10% of total power consumption is due to short circuit current.
However, in dynamic circuits there is small amount of power dissipation to reduce the sharing, as
there is no direct dc path between GND & power supply.[6]
Figure 3. (a) Static NOR circuit Figure 3(b) Dynamic NOR circuits
We alsouse pass transistor logic to exploit reduced swing to lower power.
Figure 4. Pass Transistor Logic, P = CL* Vdd* (Vdd-Vt)
7.4. REDUCING GLITCHES
Glitches generates in a logic chain when two parallel driving common gate approaches at
different times. The output instantly switches to incorrect value before settling to correct value.
Consider circuit shown below. consider that in the absence of buffer path A is high speed and Path B
is slow. At begining if A=0 and B=1 then Z=0.Next if B switched to 0 and A to 1 as B is slow the
data 0 arriving at B will be slow and hence Z switches towards 1 for small time before switching
back to 0 resulting in unnecessary power dissipation.
Figure.5. Glitch Free AND Gate
International Journal of Modern Trends in Engineering and Research (IJMTER)
Volume 02, Issue 01, [January - 2015] e-ISSN: 2349-9745, p-ISSN: 2393-8161
@IJMTER-2014, All rights Reserved 93
7.5. LOGIC LEVEL POWER OPTIMIZATION
During logical design implementation for low power, technology parameters like supply
voltage are of fixed value, and availability for selecting the functionality and sizing the gates.
Equalizing the path & insertion of buffer is one of the techniques which make sure that signal
propagation from inputs to outputs of a logic network follows paths of similar length to overcome
glitches. When paths are equalized, there is equal delay for each branch which result in less delay
while feeding signal to next node.
Figure 6. Logic Remapping of design for Low Power[4]
7.6. STANDBY MODE LEAKAGE SUPPRESSION
Static power & Leakage powergenerated from substrate currents and sub-threshold leakages.
For technologies 1 µm and above, PSwitching was more dominant. But for deep-submicron processes
below 180nm, PLeakage becomes dominant parameter. Leakage power is a major issue in recent
technologies, as it impacts battery lifecycle & battery life. CMOS technology has been most power-
efficient when transistors are not switching or in stand-by mode, and system manufacturer expect
low leakage from CMOS IC chips. To meet leakage power parameters, multiple-threshold and
variable threshold circuit techniques are frequently used. In multiple-threshold CMOS, the process
provides two different threshold transistors. Low-threshold is employed on speed-critical sub-circuits
and there are fast and leaky. High-threshold transistors are of low speed but exhibit low sub-
threshold leakage, and they are results in noncritical or slow paths of the chip.
7.7. VARIABLE BODY BIASING
Threshold voltage of transistors can be dynamically control by variable-threshold through
substrate biasing and hence overcome shortcoming associated with multi-threshold design. When a
variable-threshold circuit is on standby mode, the substrate of NMOS transistors is negatively biased,
and their threshold increases due to effect of body-biasingt. Also the substrate of PMOS transistors is
biased by positive body bias to increase their Vt in stand-by mode.[5]
Variable-threshold require
control circuits that modulate substrate voltage in stand-by mode. When the circuit is in standby
mode the bulk/body of both PMOS and NMOS are biased by third supply voltage to increase the Vt
of the MOSFET as shown in the Figure. But in normal operation they are switched back to reduce
the Vt.
Figure 7. Variable Body Biasing
International Journal of Modern Trends in Engineering and Research (IJMTER)
Volume 02, Issue 01, [January - 2015] e-ISSN: 2349-9745, p-ISSN: 2393-8161
@IJMTER-2014, All rights Reserved 94
7.8. SLEEP TRANSISTORS
When High Vt transistors connected in series with low Vtlogic as shown below are termed as Sleep
Transistor.When Low Vt devices are ON the sleep transistors are also ON resulting in normal
operation of the circuit. When the circuit is in Standby mode, HighVttransistors are OFF. As High Vt
devices are in series with Low Vt circuit the leakage current is calculated by High Vt devices and is
less, thus reduced the power dissipation.
Figure 8. Sleep Transistors Circuit Design
7.9. DYNAMIC THRESHOLD MOS
In dynamic threshold CMOS (DTMOS), the threshold voltage is altered dynamically to suit
the operating state of the circuit. For low leakage current we use high threshold voltage in the
standby mode & a low threshold voltage gives higher current drives in the active mode of operation.
CMOS with dynamic threshold characteristic can be obtained by joining the gate and body with
each other. The supply voltage of DTMOS is restricted by the diode built-in potential in bulk silicon
technology. The PN diode between source and body must be reverse biased. So, this technique is
only preferred for ultralow voltage (0.6V and below) circuits in bulk CMOS.
Figure 9. DTMOS Circuit
7.10. SHORT CIRCUIT POWER SUPPRESSION
Short circuit currents that comes into consideration when pairs of PMOS/NMOS transistors
are conducting simultaneously resulting in Short circuit power.
Figure 10. Short Circuit Power in CMOS Circuits
International Journal of Modern Trends in Engineering and Research (IJMTER)
Volume 02, Issue 01, [January - 2015] e-ISSN: 2349-9745, p-ISSN: 2393-8161
@IJMTER-2014, All rights Reserved 95
One way to reduce short circuit power is to keep the input and output rise/fall times the same.
If the load capacitance is greater, so the output fall time is larger than the input rise time. The drain-
source voltage of the PMOS transistor is 0. So the short-circuit power is 0. If the load capacitance is
of less capacitance, the output fall time is less than the input rise time. For PMOS transistor the
drain-source voltage is nearer to VDD during most of the transition period. Therefore we obtain large
short circuit current.
VIII. CONCLUSION
The need for lower power systems is being driven by many market segments. Unfortunately
designing for low power adds another dimension to the already complex design problem and the
design has to be optimized for power as well as Performance and Area.
REFERENCES
[1] T. Burdet et.al, “Low power VLSI design”, Journal of VLSI Signal Processing Systems, vol.13, no. 2-3, pp.
203-221, August 1996.
[2] Jingjing Fu, Zuying Luo, Xianlong Hong, Yici Cai, Sheldon X.-D. Tan, Zhu Pan, “VLSI On-Chip
Power/Ground Network Optimization Considering Decap Leakage Currents” ASP-DAC 2005 page no 735-738.
[3] S. Y. Zhao, K. K. Roy, C. K. Koh. “Decoupling capacitance allocation for power supply noise suppression”
ISPD2001, Sonoma, 2001: 66-71.
[4] Kanika Kaur and Arti Noor “STRATEGIES & METHODOLOGIES FOR LOW POWER VLSI DESIGNS: A
REVIEW” International Journal of Advances in Engineering & Technology, May 2011.IJAET ISSN: 2231-
1963 Vol. 1,Issue 2,pp.159-165.
[5] Shekar Borkar, "Design Challenges of Technology Scaling," IEEE Micro, July/August 1999, pg 23.
[6] Y. Yeo, et.al, “Direct Tunneling Gate Leakage Current in Transistors with Ultrathin Silicon Nitride Gate
Dielectric,” IEEE Electron Devices Letters, vol.21, no.11, pp. 540-542, Nov.2000.
[7] Shinichiro Mutoh, Yasuyuki Matsuya , Takahko Aoki and Junzo Yamada “1-V Power Supply High-speed
Digital Circuit Technology with Multi-threshold-Voltage CMOS”, IEEE, vol. 30, August 1995, pp.847-848.
[8] Se-Joong Lee, “Adaptive Control Methodology for High-performance Low-power VLSI Design”, Frontiers in
Adaptive Control, Shuang Cong (Ed.), ISBN: 978-953-7619-43-5.
Analysis of Power Dissipation & Low Power VLSI Chip Design
Analysis of Power Dissipation & Low Power VLSI Chip Design

More Related Content

What's hot

Power
PowerPower
Nmi Presentation Sept 2007
Nmi Presentation Sept 2007Nmi Presentation Sept 2007
Nmi Presentation Sept 2007
AdrianOShaughnessy
 
Low power vlsi design ppt
Low power vlsi design pptLow power vlsi design ppt
Low power vlsi design ppt
Anil Yadav
 
Low Power Techniques
Low Power TechniquesLow Power Techniques
Low Power Techniques
keshava murali
 
Finfets
FinfetsFinfets
Low Power VLSI Designs
Low Power VLSI DesignsLow Power VLSI Designs
Low Power VLSI Designs
Mahesh Dananjaya
 
Low Power Design Approach in VLSI
Low Power Design Approach in VLSILow Power Design Approach in VLSI
Low Power Design Approach in VLSI
Silicon Mentor
 
POWER CONSUMPTION AT CIRCUIT OR LOGIC LEVEL IN CIRCUIT
POWER CONSUMPTION AT CIRCUIT OR LOGIC LEVEL IN CIRCUITPOWER CONSUMPTION AT CIRCUIT OR LOGIC LEVEL IN CIRCUIT
POWER CONSUMPTION AT CIRCUIT OR LOGIC LEVEL IN CIRCUIT
Anil Yadav
 
minimisation of crosstalk in VLSI routing
minimisation of crosstalk in VLSI routingminimisation of crosstalk in VLSI routing
minimisation of crosstalk in VLSI routingChandrajit Pal
 
Low power in vlsi with upf basics part 2
Low power in vlsi with upf basics part 2Low power in vlsi with upf basics part 2
Low power in vlsi with upf basics part 2
SUNODH GARLAPATI
 
VLSI Design-Lecture2 introduction to ic technology
VLSI Design-Lecture2 introduction to ic technologyVLSI Design-Lecture2 introduction to ic technology
VLSI Design-Lecture2 introduction to ic technology
sritulasiadigopula
 
Basics Of VLSI
Basics Of VLSIBasics Of VLSI
Basics Of VLSI
Avanish Agarwal
 
Vlsi best notes google docs
Vlsi best notes   google docsVlsi best notes   google docs
Vlsi best notes google docs
Rajesh M
 
DOUBLE GATE MOSFET-BASICS
DOUBLE GATE MOSFET-BASICSDOUBLE GATE MOSFET-BASICS
DOUBLE GATE MOSFET-BASICS
ADITYA SINGH
 
IC Packaging
IC PackagingIC Packaging
IC Packaging
santoshnimbal
 
ASIC DESIGN : PLACEMENT
ASIC DESIGN : PLACEMENTASIC DESIGN : PLACEMENT
ASIC DESIGN : PLACEMENT
helloactiva
 
Low power VLSI design
Low power VLSI designLow power VLSI design
Low power VLSI design
Saravanan Siddhan
 
Power dissipation cmos
Power dissipation cmosPower dissipation cmos
Power dissipation cmos
Rajesh Tiwary
 
Ic tech unit 5- VLSI Process Integration
Ic tech unit 5- VLSI Process IntegrationIc tech unit 5- VLSI Process Integration
Ic tech unit 5- VLSI Process Integration
kriticka sharma
 

What's hot (20)

Power
PowerPower
Power
 
Nmi Presentation Sept 2007
Nmi Presentation Sept 2007Nmi Presentation Sept 2007
Nmi Presentation Sept 2007
 
Low power vlsi design ppt
Low power vlsi design pptLow power vlsi design ppt
Low power vlsi design ppt
 
Low Power Techniques
Low Power TechniquesLow Power Techniques
Low Power Techniques
 
12 low power techniques
12 low power techniques12 low power techniques
12 low power techniques
 
Finfets
FinfetsFinfets
Finfets
 
Low Power VLSI Designs
Low Power VLSI DesignsLow Power VLSI Designs
Low Power VLSI Designs
 
Low Power Design Approach in VLSI
Low Power Design Approach in VLSILow Power Design Approach in VLSI
Low Power Design Approach in VLSI
 
POWER CONSUMPTION AT CIRCUIT OR LOGIC LEVEL IN CIRCUIT
POWER CONSUMPTION AT CIRCUIT OR LOGIC LEVEL IN CIRCUITPOWER CONSUMPTION AT CIRCUIT OR LOGIC LEVEL IN CIRCUIT
POWER CONSUMPTION AT CIRCUIT OR LOGIC LEVEL IN CIRCUIT
 
minimisation of crosstalk in VLSI routing
minimisation of crosstalk in VLSI routingminimisation of crosstalk in VLSI routing
minimisation of crosstalk in VLSI routing
 
Low power in vlsi with upf basics part 2
Low power in vlsi with upf basics part 2Low power in vlsi with upf basics part 2
Low power in vlsi with upf basics part 2
 
VLSI Design-Lecture2 introduction to ic technology
VLSI Design-Lecture2 introduction to ic technologyVLSI Design-Lecture2 introduction to ic technology
VLSI Design-Lecture2 introduction to ic technology
 
Basics Of VLSI
Basics Of VLSIBasics Of VLSI
Basics Of VLSI
 
Vlsi best notes google docs
Vlsi best notes   google docsVlsi best notes   google docs
Vlsi best notes google docs
 
DOUBLE GATE MOSFET-BASICS
DOUBLE GATE MOSFET-BASICSDOUBLE GATE MOSFET-BASICS
DOUBLE GATE MOSFET-BASICS
 
IC Packaging
IC PackagingIC Packaging
IC Packaging
 
ASIC DESIGN : PLACEMENT
ASIC DESIGN : PLACEMENTASIC DESIGN : PLACEMENT
ASIC DESIGN : PLACEMENT
 
Low power VLSI design
Low power VLSI designLow power VLSI design
Low power VLSI design
 
Power dissipation cmos
Power dissipation cmosPower dissipation cmos
Power dissipation cmos
 
Ic tech unit 5- VLSI Process Integration
Ic tech unit 5- VLSI Process IntegrationIc tech unit 5- VLSI Process Integration
Ic tech unit 5- VLSI Process Integration
 

Similar to Analysis of Power Dissipation & Low Power VLSI Chip Design

Optimized Design of an Alu Block Using Power Gating Technique
Optimized Design of an Alu Block Using Power Gating TechniqueOptimized Design of an Alu Block Using Power Gating Technique
Optimized Design of an Alu Block Using Power Gating Technique
IJERA Editor
 
A Literature Review On Design Strategies And Methodologies Of Low Power VLSI ...
A Literature Review On Design Strategies And Methodologies Of Low Power VLSI ...A Literature Review On Design Strategies And Methodologies Of Low Power VLSI ...
A Literature Review On Design Strategies And Methodologies Of Low Power VLSI ...
Nathan Mathis
 
Analysis Of Optimization Techniques For Low Power VLSI Design
Analysis Of Optimization Techniques For Low Power VLSI DesignAnalysis Of Optimization Techniques For Low Power VLSI Design
Analysis Of Optimization Techniques For Low Power VLSI Design
Amy Cernava
 
IRJET- Proposing a RTD-Based Block for On-Chip GPU Caches to Reduce Static Po...
IRJET- Proposing a RTD-Based Block for On-Chip GPU Caches to Reduce Static Po...IRJET- Proposing a RTD-Based Block for On-Chip GPU Caches to Reduce Static Po...
IRJET- Proposing a RTD-Based Block for On-Chip GPU Caches to Reduce Static Po...
IRJET Journal
 
A Survey on Low Power VLSI Designs
A Survey on Low Power VLSI Designs A Survey on Low Power VLSI Designs
A Survey on Low Power VLSI Designs
IJEEE
 
IRJET - Low Power Design for Fast Full Adder
IRJET -  	  Low Power Design for Fast Full AdderIRJET -  	  Low Power Design for Fast Full Adder
IRJET - Low Power Design for Fast Full Adder
IRJET Journal
 
Trends and challenges in vlsi
Trends and challenges in vlsiTrends and challenges in vlsi
Trends and challenges in vlsi
labishettybhanu
 
A Survey Paper on Leakage Power and Delay in CMOS Circuits
A Survey Paper on Leakage Power and Delay in CMOS CircuitsA Survey Paper on Leakage Power and Delay in CMOS Circuits
A Survey Paper on Leakage Power and Delay in CMOS Circuits
ijtsrd
 
Implementation and analysis of power reduction in 2 to 4 decoder design using...
Implementation and analysis of power reduction in 2 to 4 decoder design using...Implementation and analysis of power reduction in 2 to 4 decoder design using...
Implementation and analysis of power reduction in 2 to 4 decoder design using...
eSAT Publishing House
 
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
VLSICS Design
 
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
VLSICS Design
 
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
VLSICS Design
 
IRJET- An Analysis of CMOS based Low Power 2:4 Decoder at 32nm Node using LEC...
IRJET- An Analysis of CMOS based Low Power 2:4 Decoder at 32nm Node using LEC...IRJET- An Analysis of CMOS based Low Power 2:4 Decoder at 32nm Node using LEC...
IRJET- An Analysis of CMOS based Low Power 2:4 Decoder at 32nm Node using LEC...
IRJET Journal
 
CMOS LOW POWER CELL LIBRARY FOR DIGITAL DESIGN
CMOS LOW POWER CELL LIBRARY FOR DIGITAL DESIGNCMOS LOW POWER CELL LIBRARY FOR DIGITAL DESIGN
CMOS LOW POWER CELL LIBRARY FOR DIGITAL DESIGN
VLSICS Design
 
Extremely Low Power FIR Filter for a Smart Dust Sensor Module
Extremely Low Power FIR Filter for a Smart Dust Sensor ModuleExtremely Low Power FIR Filter for a Smart Dust Sensor Module
Extremely Low Power FIR Filter for a Smart Dust Sensor Module
CSCJournals
 
Low Power Design of Standard Digital Gate Design Using Novel Sleep Transisto...
Low Power Design of Standard Digital Gate Design Using Novel  Sleep Transisto...Low Power Design of Standard Digital Gate Design Using Novel  Sleep Transisto...
Low Power Design of Standard Digital Gate Design Using Novel Sleep Transisto...
IJMER
 
Current Comparison Domino based CHSK Domino Logic Technique for Rapid Progres...
Current Comparison Domino based CHSK Domino Logic Technique for Rapid Progres...Current Comparison Domino based CHSK Domino Logic Technique for Rapid Progres...
Current Comparison Domino based CHSK Domino Logic Technique for Rapid Progres...
IJECEIAES
 
Design and Analysis of Low Power High Speed Hybrid logic 8-T Full Adder Circuit
Design and Analysis of Low Power High Speed Hybrid logic 8-T Full Adder CircuitDesign and Analysis of Low Power High Speed Hybrid logic 8-T Full Adder Circuit
Design and Analysis of Low Power High Speed Hybrid logic 8-T Full Adder Circuit
Associate Professor in VSB Coimbatore
 
Bl34395398
Bl34395398Bl34395398
Bl34395398
IJERA Editor
 

Similar to Analysis of Power Dissipation & Low Power VLSI Chip Design (20)

Optimized Design of an Alu Block Using Power Gating Technique
Optimized Design of an Alu Block Using Power Gating TechniqueOptimized Design of an Alu Block Using Power Gating Technique
Optimized Design of an Alu Block Using Power Gating Technique
 
A Literature Review On Design Strategies And Methodologies Of Low Power VLSI ...
A Literature Review On Design Strategies And Methodologies Of Low Power VLSI ...A Literature Review On Design Strategies And Methodologies Of Low Power VLSI ...
A Literature Review On Design Strategies And Methodologies Of Low Power VLSI ...
 
Analysis Of Optimization Techniques For Low Power VLSI Design
Analysis Of Optimization Techniques For Low Power VLSI DesignAnalysis Of Optimization Techniques For Low Power VLSI Design
Analysis Of Optimization Techniques For Low Power VLSI Design
 
IRJET- Proposing a RTD-Based Block for On-Chip GPU Caches to Reduce Static Po...
IRJET- Proposing a RTD-Based Block for On-Chip GPU Caches to Reduce Static Po...IRJET- Proposing a RTD-Based Block for On-Chip GPU Caches to Reduce Static Po...
IRJET- Proposing a RTD-Based Block for On-Chip GPU Caches to Reduce Static Po...
 
A Survey on Low Power VLSI Designs
A Survey on Low Power VLSI Designs A Survey on Low Power VLSI Designs
A Survey on Low Power VLSI Designs
 
IRJET - Low Power Design for Fast Full Adder
IRJET -  	  Low Power Design for Fast Full AdderIRJET -  	  Low Power Design for Fast Full Adder
IRJET - Low Power Design for Fast Full Adder
 
Trends and challenges in vlsi
Trends and challenges in vlsiTrends and challenges in vlsi
Trends and challenges in vlsi
 
A Survey Paper on Leakage Power and Delay in CMOS Circuits
A Survey Paper on Leakage Power and Delay in CMOS CircuitsA Survey Paper on Leakage Power and Delay in CMOS Circuits
A Survey Paper on Leakage Power and Delay in CMOS Circuits
 
Implementation and analysis of power reduction in 2 to 4 decoder design using...
Implementation and analysis of power reduction in 2 to 4 decoder design using...Implementation and analysis of power reduction in 2 to 4 decoder design using...
Implementation and analysis of power reduction in 2 to 4 decoder design using...
 
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
 
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
 
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
SURVEY ON POWER OPTIMIZATION TECHNIQUES FOR LOW POWER VLSI CIRCUIT IN DEEP SU...
 
SMPS
SMPSSMPS
SMPS
 
IRJET- An Analysis of CMOS based Low Power 2:4 Decoder at 32nm Node using LEC...
IRJET- An Analysis of CMOS based Low Power 2:4 Decoder at 32nm Node using LEC...IRJET- An Analysis of CMOS based Low Power 2:4 Decoder at 32nm Node using LEC...
IRJET- An Analysis of CMOS based Low Power 2:4 Decoder at 32nm Node using LEC...
 
CMOS LOW POWER CELL LIBRARY FOR DIGITAL DESIGN
CMOS LOW POWER CELL LIBRARY FOR DIGITAL DESIGNCMOS LOW POWER CELL LIBRARY FOR DIGITAL DESIGN
CMOS LOW POWER CELL LIBRARY FOR DIGITAL DESIGN
 
Extremely Low Power FIR Filter for a Smart Dust Sensor Module
Extremely Low Power FIR Filter for a Smart Dust Sensor ModuleExtremely Low Power FIR Filter for a Smart Dust Sensor Module
Extremely Low Power FIR Filter for a Smart Dust Sensor Module
 
Low Power Design of Standard Digital Gate Design Using Novel Sleep Transisto...
Low Power Design of Standard Digital Gate Design Using Novel  Sleep Transisto...Low Power Design of Standard Digital Gate Design Using Novel  Sleep Transisto...
Low Power Design of Standard Digital Gate Design Using Novel Sleep Transisto...
 
Current Comparison Domino based CHSK Domino Logic Technique for Rapid Progres...
Current Comparison Domino based CHSK Domino Logic Technique for Rapid Progres...Current Comparison Domino based CHSK Domino Logic Technique for Rapid Progres...
Current Comparison Domino based CHSK Domino Logic Technique for Rapid Progres...
 
Design and Analysis of Low Power High Speed Hybrid logic 8-T Full Adder Circuit
Design and Analysis of Low Power High Speed Hybrid logic 8-T Full Adder CircuitDesign and Analysis of Low Power High Speed Hybrid logic 8-T Full Adder Circuit
Design and Analysis of Low Power High Speed Hybrid logic 8-T Full Adder Circuit
 
Bl34395398
Bl34395398Bl34395398
Bl34395398
 

More from Editor IJMTER

A NEW DATA ENCODER AND DECODER SCHEME FOR NETWORK ON CHIP
A NEW DATA ENCODER AND DECODER SCHEME FOR  NETWORK ON CHIPA NEW DATA ENCODER AND DECODER SCHEME FOR  NETWORK ON CHIP
A NEW DATA ENCODER AND DECODER SCHEME FOR NETWORK ON CHIP
Editor IJMTER
 
A RESEARCH - DEVELOP AN EFFICIENT ALGORITHM TO RECOGNIZE, SEPARATE AND COUNT ...
A RESEARCH - DEVELOP AN EFFICIENT ALGORITHM TO RECOGNIZE, SEPARATE AND COUNT ...A RESEARCH - DEVELOP AN EFFICIENT ALGORITHM TO RECOGNIZE, SEPARATE AND COUNT ...
A RESEARCH - DEVELOP AN EFFICIENT ALGORITHM TO RECOGNIZE, SEPARATE AND COUNT ...
Editor IJMTER
 
Analysis of VoIP Traffic in WiMAX Environment
Analysis of VoIP Traffic in WiMAX EnvironmentAnalysis of VoIP Traffic in WiMAX Environment
Analysis of VoIP Traffic in WiMAX Environment
Editor IJMTER
 
A Hybrid Cloud Approach for Secure Authorized De-Duplication
A Hybrid Cloud Approach for Secure Authorized De-DuplicationA Hybrid Cloud Approach for Secure Authorized De-Duplication
A Hybrid Cloud Approach for Secure Authorized De-Duplication
Editor IJMTER
 
Aging protocols that could incapacitate the Internet
Aging protocols that could incapacitate the InternetAging protocols that could incapacitate the Internet
Aging protocols that could incapacitate the Internet
Editor IJMTER
 
A Cloud Computing design with Wireless Sensor Networks For Agricultural Appli...
A Cloud Computing design with Wireless Sensor Networks For Agricultural Appli...A Cloud Computing design with Wireless Sensor Networks For Agricultural Appli...
A Cloud Computing design with Wireless Sensor Networks For Agricultural Appli...
Editor IJMTER
 
A CAR POOLING MODEL WITH CMGV AND CMGNV STOCHASTIC VEHICLE TRAVEL TIMES
A CAR POOLING MODEL WITH CMGV AND CMGNV STOCHASTIC VEHICLE TRAVEL TIMESA CAR POOLING MODEL WITH CMGV AND CMGNV STOCHASTIC VEHICLE TRAVEL TIMES
A CAR POOLING MODEL WITH CMGV AND CMGNV STOCHASTIC VEHICLE TRAVEL TIMES
Editor IJMTER
 
Sustainable Construction With Foam Concrete As A Green Green Building Material
Sustainable Construction With Foam Concrete As A Green Green Building MaterialSustainable Construction With Foam Concrete As A Green Green Building Material
Sustainable Construction With Foam Concrete As A Green Green Building Material
Editor IJMTER
 
USE OF ICT IN EDUCATION ONLINE COMPUTER BASED TEST
USE OF ICT IN EDUCATION ONLINE COMPUTER BASED TESTUSE OF ICT IN EDUCATION ONLINE COMPUTER BASED TEST
USE OF ICT IN EDUCATION ONLINE COMPUTER BASED TEST
Editor IJMTER
 
Textual Data Partitioning with Relationship and Discriminative Analysis
Textual Data Partitioning with Relationship and Discriminative AnalysisTextual Data Partitioning with Relationship and Discriminative Analysis
Textual Data Partitioning with Relationship and Discriminative Analysis
Editor IJMTER
 
Testing of Matrices Multiplication Methods on Different Processors
Testing of Matrices Multiplication Methods on Different ProcessorsTesting of Matrices Multiplication Methods on Different Processors
Testing of Matrices Multiplication Methods on Different Processors
Editor IJMTER
 
Survey on Malware Detection Techniques
Survey on Malware Detection TechniquesSurvey on Malware Detection Techniques
Survey on Malware Detection Techniques
Editor IJMTER
 
SURVEY OF TRUST BASED BLUETOOTH AUTHENTICATION FOR MOBILE DEVICE
SURVEY OF TRUST BASED BLUETOOTH AUTHENTICATION FOR MOBILE DEVICESURVEY OF TRUST BASED BLUETOOTH AUTHENTICATION FOR MOBILE DEVICE
SURVEY OF TRUST BASED BLUETOOTH AUTHENTICATION FOR MOBILE DEVICE
Editor IJMTER
 
SURVEY OF GLAUCOMA DETECTION METHODS
SURVEY OF GLAUCOMA DETECTION METHODSSURVEY OF GLAUCOMA DETECTION METHODS
SURVEY OF GLAUCOMA DETECTION METHODS
Editor IJMTER
 
Survey: Multipath routing for Wireless Sensor Network
Survey: Multipath routing for Wireless Sensor NetworkSurvey: Multipath routing for Wireless Sensor Network
Survey: Multipath routing for Wireless Sensor Network
Editor IJMTER
 
Step up DC-DC Impedance source network based PMDC Motor Drive
Step up DC-DC Impedance source network based PMDC Motor DriveStep up DC-DC Impedance source network based PMDC Motor Drive
Step up DC-DC Impedance source network based PMDC Motor Drive
Editor IJMTER
 
SPIRITUAL PERSPECTIVE OF AUROBINDO GHOSH’S PHILOSOPHY IN TODAY’S EDUCATION
SPIRITUAL PERSPECTIVE OF AUROBINDO GHOSH’S PHILOSOPHY IN TODAY’S EDUCATIONSPIRITUAL PERSPECTIVE OF AUROBINDO GHOSH’S PHILOSOPHY IN TODAY’S EDUCATION
SPIRITUAL PERSPECTIVE OF AUROBINDO GHOSH’S PHILOSOPHY IN TODAY’S EDUCATION
Editor IJMTER
 
Software Quality Analysis Using Mutation Testing Scheme
Software Quality Analysis Using Mutation Testing SchemeSoftware Quality Analysis Using Mutation Testing Scheme
Software Quality Analysis Using Mutation Testing Scheme
Editor IJMTER
 
Software Defect Prediction Using Local and Global Analysis
Software Defect Prediction Using Local and Global AnalysisSoftware Defect Prediction Using Local and Global Analysis
Software Defect Prediction Using Local and Global Analysis
Editor IJMTER
 
Software Cost Estimation Using Clustering and Ranking Scheme
Software Cost Estimation Using Clustering and Ranking SchemeSoftware Cost Estimation Using Clustering and Ranking Scheme
Software Cost Estimation Using Clustering and Ranking Scheme
Editor IJMTER
 

More from Editor IJMTER (20)

A NEW DATA ENCODER AND DECODER SCHEME FOR NETWORK ON CHIP
A NEW DATA ENCODER AND DECODER SCHEME FOR  NETWORK ON CHIPA NEW DATA ENCODER AND DECODER SCHEME FOR  NETWORK ON CHIP
A NEW DATA ENCODER AND DECODER SCHEME FOR NETWORK ON CHIP
 
A RESEARCH - DEVELOP AN EFFICIENT ALGORITHM TO RECOGNIZE, SEPARATE AND COUNT ...
A RESEARCH - DEVELOP AN EFFICIENT ALGORITHM TO RECOGNIZE, SEPARATE AND COUNT ...A RESEARCH - DEVELOP AN EFFICIENT ALGORITHM TO RECOGNIZE, SEPARATE AND COUNT ...
A RESEARCH - DEVELOP AN EFFICIENT ALGORITHM TO RECOGNIZE, SEPARATE AND COUNT ...
 
Analysis of VoIP Traffic in WiMAX Environment
Analysis of VoIP Traffic in WiMAX EnvironmentAnalysis of VoIP Traffic in WiMAX Environment
Analysis of VoIP Traffic in WiMAX Environment
 
A Hybrid Cloud Approach for Secure Authorized De-Duplication
A Hybrid Cloud Approach for Secure Authorized De-DuplicationA Hybrid Cloud Approach for Secure Authorized De-Duplication
A Hybrid Cloud Approach for Secure Authorized De-Duplication
 
Aging protocols that could incapacitate the Internet
Aging protocols that could incapacitate the InternetAging protocols that could incapacitate the Internet
Aging protocols that could incapacitate the Internet
 
A Cloud Computing design with Wireless Sensor Networks For Agricultural Appli...
A Cloud Computing design with Wireless Sensor Networks For Agricultural Appli...A Cloud Computing design with Wireless Sensor Networks For Agricultural Appli...
A Cloud Computing design with Wireless Sensor Networks For Agricultural Appli...
 
A CAR POOLING MODEL WITH CMGV AND CMGNV STOCHASTIC VEHICLE TRAVEL TIMES
A CAR POOLING MODEL WITH CMGV AND CMGNV STOCHASTIC VEHICLE TRAVEL TIMESA CAR POOLING MODEL WITH CMGV AND CMGNV STOCHASTIC VEHICLE TRAVEL TIMES
A CAR POOLING MODEL WITH CMGV AND CMGNV STOCHASTIC VEHICLE TRAVEL TIMES
 
Sustainable Construction With Foam Concrete As A Green Green Building Material
Sustainable Construction With Foam Concrete As A Green Green Building MaterialSustainable Construction With Foam Concrete As A Green Green Building Material
Sustainable Construction With Foam Concrete As A Green Green Building Material
 
USE OF ICT IN EDUCATION ONLINE COMPUTER BASED TEST
USE OF ICT IN EDUCATION ONLINE COMPUTER BASED TESTUSE OF ICT IN EDUCATION ONLINE COMPUTER BASED TEST
USE OF ICT IN EDUCATION ONLINE COMPUTER BASED TEST
 
Textual Data Partitioning with Relationship and Discriminative Analysis
Textual Data Partitioning with Relationship and Discriminative AnalysisTextual Data Partitioning with Relationship and Discriminative Analysis
Textual Data Partitioning with Relationship and Discriminative Analysis
 
Testing of Matrices Multiplication Methods on Different Processors
Testing of Matrices Multiplication Methods on Different ProcessorsTesting of Matrices Multiplication Methods on Different Processors
Testing of Matrices Multiplication Methods on Different Processors
 
Survey on Malware Detection Techniques
Survey on Malware Detection TechniquesSurvey on Malware Detection Techniques
Survey on Malware Detection Techniques
 
SURVEY OF TRUST BASED BLUETOOTH AUTHENTICATION FOR MOBILE DEVICE
SURVEY OF TRUST BASED BLUETOOTH AUTHENTICATION FOR MOBILE DEVICESURVEY OF TRUST BASED BLUETOOTH AUTHENTICATION FOR MOBILE DEVICE
SURVEY OF TRUST BASED BLUETOOTH AUTHENTICATION FOR MOBILE DEVICE
 
SURVEY OF GLAUCOMA DETECTION METHODS
SURVEY OF GLAUCOMA DETECTION METHODSSURVEY OF GLAUCOMA DETECTION METHODS
SURVEY OF GLAUCOMA DETECTION METHODS
 
Survey: Multipath routing for Wireless Sensor Network
Survey: Multipath routing for Wireless Sensor NetworkSurvey: Multipath routing for Wireless Sensor Network
Survey: Multipath routing for Wireless Sensor Network
 
Step up DC-DC Impedance source network based PMDC Motor Drive
Step up DC-DC Impedance source network based PMDC Motor DriveStep up DC-DC Impedance source network based PMDC Motor Drive
Step up DC-DC Impedance source network based PMDC Motor Drive
 
SPIRITUAL PERSPECTIVE OF AUROBINDO GHOSH’S PHILOSOPHY IN TODAY’S EDUCATION
SPIRITUAL PERSPECTIVE OF AUROBINDO GHOSH’S PHILOSOPHY IN TODAY’S EDUCATIONSPIRITUAL PERSPECTIVE OF AUROBINDO GHOSH’S PHILOSOPHY IN TODAY’S EDUCATION
SPIRITUAL PERSPECTIVE OF AUROBINDO GHOSH’S PHILOSOPHY IN TODAY’S EDUCATION
 
Software Quality Analysis Using Mutation Testing Scheme
Software Quality Analysis Using Mutation Testing SchemeSoftware Quality Analysis Using Mutation Testing Scheme
Software Quality Analysis Using Mutation Testing Scheme
 
Software Defect Prediction Using Local and Global Analysis
Software Defect Prediction Using Local and Global AnalysisSoftware Defect Prediction Using Local and Global Analysis
Software Defect Prediction Using Local and Global Analysis
 
Software Cost Estimation Using Clustering and Ranking Scheme
Software Cost Estimation Using Clustering and Ranking SchemeSoftware Cost Estimation Using Clustering and Ranking Scheme
Software Cost Estimation Using Clustering and Ranking Scheme
 

Recently uploaded

Quality defects in TMT Bars, Possible causes and Potential Solutions.
Quality defects in TMT Bars, Possible causes and Potential Solutions.Quality defects in TMT Bars, Possible causes and Potential Solutions.
Quality defects in TMT Bars, Possible causes and Potential Solutions.
PrashantGoswami42
 
The Benefits and Techniques of Trenchless Pipe Repair.pdf
The Benefits and Techniques of Trenchless Pipe Repair.pdfThe Benefits and Techniques of Trenchless Pipe Repair.pdf
The Benefits and Techniques of Trenchless Pipe Repair.pdf
Pipe Restoration Solutions
 
Automobile Management System Project Report.pdf
Automobile Management System Project Report.pdfAutomobile Management System Project Report.pdf
Automobile Management System Project Report.pdf
Kamal Acharya
 
AKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdf
AKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdfAKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdf
AKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdf
SamSarthak3
 
Gen AI Study Jams _ For the GDSC Leads in India.pdf
Gen AI Study Jams _ For the GDSC Leads in India.pdfGen AI Study Jams _ For the GDSC Leads in India.pdf
Gen AI Study Jams _ For the GDSC Leads in India.pdf
gdsczhcet
 
Vaccine management system project report documentation..pdf
Vaccine management system project report documentation..pdfVaccine management system project report documentation..pdf
Vaccine management system project report documentation..pdf
Kamal Acharya
 
ethical hacking in wireless-hacking1.ppt
ethical hacking in wireless-hacking1.pptethical hacking in wireless-hacking1.ppt
ethical hacking in wireless-hacking1.ppt
Jayaprasanna4
 
Standard Reomte Control Interface - Neometrix
Standard Reomte Control Interface - NeometrixStandard Reomte Control Interface - Neometrix
Standard Reomte Control Interface - Neometrix
Neometrix_Engineering_Pvt_Ltd
 
HYDROPOWER - Hydroelectric power generation
HYDROPOWER - Hydroelectric power generationHYDROPOWER - Hydroelectric power generation
HYDROPOWER - Hydroelectric power generation
Robbie Edward Sayers
 
J.Yang, ICLR 2024, MLILAB, KAIST AI.pdf
J.Yang,  ICLR 2024, MLILAB, KAIST AI.pdfJ.Yang,  ICLR 2024, MLILAB, KAIST AI.pdf
J.Yang, ICLR 2024, MLILAB, KAIST AI.pdf
MLILAB
 
WATER CRISIS and its solutions-pptx 1234
WATER CRISIS and its solutions-pptx 1234WATER CRISIS and its solutions-pptx 1234
WATER CRISIS and its solutions-pptx 1234
AafreenAbuthahir2
 
Nuclear Power Economics and Structuring 2024
Nuclear Power Economics and Structuring 2024Nuclear Power Economics and Structuring 2024
Nuclear Power Economics and Structuring 2024
Massimo Talia
 
TECHNICAL TRAINING MANUAL GENERAL FAMILIARIZATION COURSE
TECHNICAL TRAINING MANUAL   GENERAL FAMILIARIZATION COURSETECHNICAL TRAINING MANUAL   GENERAL FAMILIARIZATION COURSE
TECHNICAL TRAINING MANUAL GENERAL FAMILIARIZATION COURSE
DuvanRamosGarzon1
 
Forklift Classes Overview by Intella Parts
Forklift Classes Overview by Intella PartsForklift Classes Overview by Intella Parts
Forklift Classes Overview by Intella Parts
Intella Parts
 
block diagram and signal flow graph representation
block diagram and signal flow graph representationblock diagram and signal flow graph representation
block diagram and signal flow graph representation
Divya Somashekar
 
Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...
Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...
Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...
AJAYKUMARPUND1
 
Water Industry Process Automation and Control Monthly - May 2024.pdf
Water Industry Process Automation and Control Monthly - May 2024.pdfWater Industry Process Automation and Control Monthly - May 2024.pdf
Water Industry Process Automation and Control Monthly - May 2024.pdf
Water Industry Process Automation & Control
 
H.Seo, ICLR 2024, MLILAB, KAIST AI.pdf
H.Seo,  ICLR 2024, MLILAB,  KAIST AI.pdfH.Seo,  ICLR 2024, MLILAB,  KAIST AI.pdf
H.Seo, ICLR 2024, MLILAB, KAIST AI.pdf
MLILAB
 
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
bakpo1
 
DESIGN A COTTON SEED SEPARATION MACHINE.docx
DESIGN A COTTON SEED SEPARATION MACHINE.docxDESIGN A COTTON SEED SEPARATION MACHINE.docx
DESIGN A COTTON SEED SEPARATION MACHINE.docx
FluxPrime1
 

Recently uploaded (20)

Quality defects in TMT Bars, Possible causes and Potential Solutions.
Quality defects in TMT Bars, Possible causes and Potential Solutions.Quality defects in TMT Bars, Possible causes and Potential Solutions.
Quality defects in TMT Bars, Possible causes and Potential Solutions.
 
The Benefits and Techniques of Trenchless Pipe Repair.pdf
The Benefits and Techniques of Trenchless Pipe Repair.pdfThe Benefits and Techniques of Trenchless Pipe Repair.pdf
The Benefits and Techniques of Trenchless Pipe Repair.pdf
 
Automobile Management System Project Report.pdf
Automobile Management System Project Report.pdfAutomobile Management System Project Report.pdf
Automobile Management System Project Report.pdf
 
AKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdf
AKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdfAKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdf
AKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdf
 
Gen AI Study Jams _ For the GDSC Leads in India.pdf
Gen AI Study Jams _ For the GDSC Leads in India.pdfGen AI Study Jams _ For the GDSC Leads in India.pdf
Gen AI Study Jams _ For the GDSC Leads in India.pdf
 
Vaccine management system project report documentation..pdf
Vaccine management system project report documentation..pdfVaccine management system project report documentation..pdf
Vaccine management system project report documentation..pdf
 
ethical hacking in wireless-hacking1.ppt
ethical hacking in wireless-hacking1.pptethical hacking in wireless-hacking1.ppt
ethical hacking in wireless-hacking1.ppt
 
Standard Reomte Control Interface - Neometrix
Standard Reomte Control Interface - NeometrixStandard Reomte Control Interface - Neometrix
Standard Reomte Control Interface - Neometrix
 
HYDROPOWER - Hydroelectric power generation
HYDROPOWER - Hydroelectric power generationHYDROPOWER - Hydroelectric power generation
HYDROPOWER - Hydroelectric power generation
 
J.Yang, ICLR 2024, MLILAB, KAIST AI.pdf
J.Yang,  ICLR 2024, MLILAB, KAIST AI.pdfJ.Yang,  ICLR 2024, MLILAB, KAIST AI.pdf
J.Yang, ICLR 2024, MLILAB, KAIST AI.pdf
 
WATER CRISIS and its solutions-pptx 1234
WATER CRISIS and its solutions-pptx 1234WATER CRISIS and its solutions-pptx 1234
WATER CRISIS and its solutions-pptx 1234
 
Nuclear Power Economics and Structuring 2024
Nuclear Power Economics and Structuring 2024Nuclear Power Economics and Structuring 2024
Nuclear Power Economics and Structuring 2024
 
TECHNICAL TRAINING MANUAL GENERAL FAMILIARIZATION COURSE
TECHNICAL TRAINING MANUAL   GENERAL FAMILIARIZATION COURSETECHNICAL TRAINING MANUAL   GENERAL FAMILIARIZATION COURSE
TECHNICAL TRAINING MANUAL GENERAL FAMILIARIZATION COURSE
 
Forklift Classes Overview by Intella Parts
Forklift Classes Overview by Intella PartsForklift Classes Overview by Intella Parts
Forklift Classes Overview by Intella Parts
 
block diagram and signal flow graph representation
block diagram and signal flow graph representationblock diagram and signal flow graph representation
block diagram and signal flow graph representation
 
Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...
Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...
Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...
 
Water Industry Process Automation and Control Monthly - May 2024.pdf
Water Industry Process Automation and Control Monthly - May 2024.pdfWater Industry Process Automation and Control Monthly - May 2024.pdf
Water Industry Process Automation and Control Monthly - May 2024.pdf
 
H.Seo, ICLR 2024, MLILAB, KAIST AI.pdf
H.Seo,  ICLR 2024, MLILAB,  KAIST AI.pdfH.Seo,  ICLR 2024, MLILAB,  KAIST AI.pdf
H.Seo, ICLR 2024, MLILAB, KAIST AI.pdf
 
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
 
DESIGN A COTTON SEED SEPARATION MACHINE.docx
DESIGN A COTTON SEED SEPARATION MACHINE.docxDESIGN A COTTON SEED SEPARATION MACHINE.docx
DESIGN A COTTON SEED SEPARATION MACHINE.docx
 

Analysis of Power Dissipation & Low Power VLSI Chip Design

  • 1. Scientific Journal Impact Factor (SJIF): 1.711 International Journal of Modern Trends in Engineering and Research www.ijmter.com @IJMTER-2014, All rights Reserved 89 e-ISSN: 2349-9745 p-ISSN: 2393-8161 Analysis of Power Dissipation & Low Power VLSI Chip Design Ms. Anshu N. Adwani1 , Mr. Hitesh V. Chopade2 , Prof. Swapnil S. Jain3 1, 2 M. Tech (Electronics & Communication Engineering),DMIETR, Wardha, Maharashtra, India. 3 Asst. Professor Electronics &Telecommunication Engineering, DMIETR, Wardha, Maharashtra, India Abstract—Low power requirement has become a principal motto in today’s world of electronics industries. Power dissipation has becoming an important consideration as performance and area for VLSI Chip design. With reducing the chip size, reduced power consumption and power management on chip are the key challenges due to increased complexity. Low power chip requirement in the VLSI industry is main considerable field due to the reduction of chip dimension day by day and environmental factors. For many designs, optimization of power is important as timing due to the need to reduce package cost and extended battery life. This paper present various techniques to reduce the power requirement in various stages of CMOS designing i.e. Dynamic Power Suppression, Adiabatic Circuits, Logic Design for Low Power, Reducing Glitches, Logic Level Power Optimization, Standby Mode Leakage Suppression, Variable Body Biasing, Sleep Transistors, Dynamic Threshold MOS, Short Circuit Power Suppression. Keywords-Low power, VLSI, CMOS, package cost, battery life, power dissipation. I. INTRODUCTION In modern era VLSI design efforts have focused primarily on increasing the speed to realize computationally intensive functions such as video compression, gaming &graphics etc. So, we have semiconductor ICs that successfully integrated various complex signal processing modules & graphical processing units to meet our requirement. While these solutions have addressed the real- time problem, they haven’t addressed the demand for portable high speed operation, where mobile phone needs to design with all this without consuming much power. The strict limitation on total power dissipation in portable electronics applications like smart phones and tablet computers must be met by the VLSI chip designer while still meeting the computational requirements. But wireless devices are rapidly making their importance to the consumer electronics market; a key design component for portable operation namely the total power consumption of the device must be addressed. Lowering the total power consumption in these systems is important since it is desirable to maximize the run time with minimum requirements on size, battery support and required weight of batteries. So the most useful factor to consider while designing SOC for portable devices is 'low power design'.[1] II. PROBLEM ASSOCIATED WITH POWER DISSIPATION Scaling of technology node increases power-density more than expected. CMOS technology beyond 65nm node arises a real challenge for any sort of voltage and frequency scaling Starting from 120nm node, each new process has obvious higher dynamic and leakage current density with minimum increase in speed. Between 90nm to 65nm the dynamic power dissipation is almost same
  • 2. International Journal of Modern Trends in Engineering and Research (IJMTER) Volume 02, Issue 01, [January - 2015] e-ISSN: 2349-9745, p-ISSN: 2393-8161 @IJMTER-2014, All rights Reserved 90 whereas there is ~5% higher leakage/mm2 [3] .Low cost always require higher levels of integration, whereas less costly technological breakthroughs to keep power under control are getting very scarce. III. DO WE NEED TO BOTHER WITH POWER? Power dissipation is the main look up when it comes to Portability. The mobile device consumer keeps demanding more features and extended battery life at a lower cost. About 70% of users require longer talk-time and maximum stand-by time as primary mobile phone feature. For 3G technology we require more power efficiency, consumer also needs smaller size gadgets. It requires high levels of Silicon integration in advanced processes, where as advanced processes have inherently higher leakage current. So we must need to consider leakage current while designing low power gadgets. IV. WHY POWER MATTERS IN SOC?[4] Power Management useful in System on Chip because of following concerns: 1. Packaging & Cooling costs. 2. Digital noise immunity, 3. Battery life of portable systems 4. Environmental issues. V. SOURCES OF POWER DISSIPATION The power dissipation in circuit is classified into three classes as described below. 1. Dynamic power consumption: Because of logic transitions causing logic gates to charge/discharge load capacitance. 2. Short-circuit current: In a CMOS logic P-branch and N-branch are momentarily shorted as logic gate changes state for short circuit power dissipation. 3. Leakage current:It occurs when the system is in standby mode or not powered. There are various sources of leakage current in MOSFET[7] . Diode leakages around transistors &n-wells, Sub-threshold Leakage, Gate Leakage, Tunnel Currents etc. Increasing 20 times for each new fabrication technology. VI. LOW-POWER DESIGN TECHNIQUES An integrated low power methods requires optimization at all design abstraction layers as below: 1. System: Partitioning, Power lowering. 2. Algorithm: Complexity in design, Concurrency of operation, Regularity in working. 3. Architecture: Parallelism of operations, Pipelining of processes, Redundancy of data, Data Encoding & decoding. 4. Circuit Logic: Logic design Styles, Energy Recovery methods, component sizing. 5. Technology: Threshold Reduction capability, Multi Threshold Devices. Dynamic power varies as VDD2. So lowering the supply voltage reduces power dissipation. The selective frequency reduction technique used to lower the dynamic power requirement[4] . At system level, multi threshold voltage can be used to reduce leakage power. For speed-up circuit and reduce power transistor resizing can be used. Sleep transistors can be used efficiently to reduce standby power. Parallel processing and pipelining can reduce power requirement. Clock disabling, power-down of selected logic blocks, adiabatic computing, software redesign to lower power dissipation are the other techniques commonly used for low power design.
  • 3. International Journal of Modern Trends in Engineering and Research (IJMTER) Volume 02, Issue 01, [January - 2015] e-ISSN: 2349-9745, p-ISSN: 2393-8161 @IJMTER-2014, All rights Reserved 91 VII. VLSI CIRCUIT DESIGN FOR LOW POWER The growing market of portable gadgets (cellular phones, gaming remotes) battery-powered electronic systems require microelectronic circuits design havingultra-low power dissipation. As the integration, size, and complexity of the chips continue to increase, the difficulty in providing sufficient cooling might either add significant cost or limit the functionality of the computing systems which make use of those integrated circuits. The technology node scales down to 65nm there is not much increase in dynamic power dissipation. However the static power or leakage power is same as or exceeds the dynamic power below 65nanometer technology node. So themethods to reduce power dissipation is not limited to dynamic power. In this paper we approaches to minimize Dynamic, Leakage power dissipation and Short Circuit power dissipation. Power minimization in a processor can be achieved at various levels of designing. So we need to optimize or reduce the power requirement of desired circuitry[3] . Total Power dissipated in a CMOS circuit is equal to total of dynamic power, short circuit power and static power or leakage power. Designed structure for low-power requirement implies the ability to reduce all three parameters of power consumption in CMOS circuits during the designing of a low power electronic product. Figure 1.Components of Power in CMOS circuit Ptotal = CLVDD2 + tscVDDIpeak + VDDIleakage 7.1. DYNAMIC POWER SUPPRESSION Dynamic/Switching power is due to charging and discharging of load capacitors driven by the circuit. Supply voltage variation has been the most preferred approach to power optimization, since it normally result in considerable power savings due to the quadratic dependence of switching/dynamic power PSwitching on supply voltage VDD. However limiting the supply voltage affects circuit speed which is the major short-coming of this method. So design and technological solutions must be applied to compensate the decrease in circuit performance introduced by reduced voltage. Some of the methodology often used to reduce dynamic power are described below. 7.2. ADIABATIC CIRCUITS In adiabatic circuits instead of dissipating the power is reused. By externally limiting the length and shape of signal transitions energy spent to flip a bit can be reduced to very small values. As the diodes have thermodynamic irreversible nature they are not used in the design of Adiabatic Logic. MOSFETs should not be switched ON when there is significant potential difference between source and drain. And shouldn’t be TURNOFF when there is a significant current flowing through gadget.
  • 4. International Journal of Modern Trends in Engineering and Research (IJMTER) Volume 02, Issue 01, [January - 2015] e-ISSN: 2349-9745, p-ISSN: 2393-8161 @IJMTER-2014, All rights Reserved 92 Figure 2.Charge Recovery Logic 7.3. LOGIC DESIGN FOR LOW POWER Selection between static & dynamic topologies, conventional CMOS &pass-transistor logic styles and synchronous & asynchronous timing styles have to be made while designing a circuit. In static CMOS circuits, about 10% of total power consumption is due to short circuit current. However, in dynamic circuits there is small amount of power dissipation to reduce the sharing, as there is no direct dc path between GND & power supply.[6] Figure 3. (a) Static NOR circuit Figure 3(b) Dynamic NOR circuits We alsouse pass transistor logic to exploit reduced swing to lower power. Figure 4. Pass Transistor Logic, P = CL* Vdd* (Vdd-Vt) 7.4. REDUCING GLITCHES Glitches generates in a logic chain when two parallel driving common gate approaches at different times. The output instantly switches to incorrect value before settling to correct value. Consider circuit shown below. consider that in the absence of buffer path A is high speed and Path B is slow. At begining if A=0 and B=1 then Z=0.Next if B switched to 0 and A to 1 as B is slow the data 0 arriving at B will be slow and hence Z switches towards 1 for small time before switching back to 0 resulting in unnecessary power dissipation. Figure.5. Glitch Free AND Gate
  • 5. International Journal of Modern Trends in Engineering and Research (IJMTER) Volume 02, Issue 01, [January - 2015] e-ISSN: 2349-9745, p-ISSN: 2393-8161 @IJMTER-2014, All rights Reserved 93 7.5. LOGIC LEVEL POWER OPTIMIZATION During logical design implementation for low power, technology parameters like supply voltage are of fixed value, and availability for selecting the functionality and sizing the gates. Equalizing the path & insertion of buffer is one of the techniques which make sure that signal propagation from inputs to outputs of a logic network follows paths of similar length to overcome glitches. When paths are equalized, there is equal delay for each branch which result in less delay while feeding signal to next node. Figure 6. Logic Remapping of design for Low Power[4] 7.6. STANDBY MODE LEAKAGE SUPPRESSION Static power & Leakage powergenerated from substrate currents and sub-threshold leakages. For technologies 1 µm and above, PSwitching was more dominant. But for deep-submicron processes below 180nm, PLeakage becomes dominant parameter. Leakage power is a major issue in recent technologies, as it impacts battery lifecycle & battery life. CMOS technology has been most power- efficient when transistors are not switching or in stand-by mode, and system manufacturer expect low leakage from CMOS IC chips. To meet leakage power parameters, multiple-threshold and variable threshold circuit techniques are frequently used. In multiple-threshold CMOS, the process provides two different threshold transistors. Low-threshold is employed on speed-critical sub-circuits and there are fast and leaky. High-threshold transistors are of low speed but exhibit low sub- threshold leakage, and they are results in noncritical or slow paths of the chip. 7.7. VARIABLE BODY BIASING Threshold voltage of transistors can be dynamically control by variable-threshold through substrate biasing and hence overcome shortcoming associated with multi-threshold design. When a variable-threshold circuit is on standby mode, the substrate of NMOS transistors is negatively biased, and their threshold increases due to effect of body-biasingt. Also the substrate of PMOS transistors is biased by positive body bias to increase their Vt in stand-by mode.[5] Variable-threshold require control circuits that modulate substrate voltage in stand-by mode. When the circuit is in standby mode the bulk/body of both PMOS and NMOS are biased by third supply voltage to increase the Vt of the MOSFET as shown in the Figure. But in normal operation they are switched back to reduce the Vt. Figure 7. Variable Body Biasing
  • 6. International Journal of Modern Trends in Engineering and Research (IJMTER) Volume 02, Issue 01, [January - 2015] e-ISSN: 2349-9745, p-ISSN: 2393-8161 @IJMTER-2014, All rights Reserved 94 7.8. SLEEP TRANSISTORS When High Vt transistors connected in series with low Vtlogic as shown below are termed as Sleep Transistor.When Low Vt devices are ON the sleep transistors are also ON resulting in normal operation of the circuit. When the circuit is in Standby mode, HighVttransistors are OFF. As High Vt devices are in series with Low Vt circuit the leakage current is calculated by High Vt devices and is less, thus reduced the power dissipation. Figure 8. Sleep Transistors Circuit Design 7.9. DYNAMIC THRESHOLD MOS In dynamic threshold CMOS (DTMOS), the threshold voltage is altered dynamically to suit the operating state of the circuit. For low leakage current we use high threshold voltage in the standby mode & a low threshold voltage gives higher current drives in the active mode of operation. CMOS with dynamic threshold characteristic can be obtained by joining the gate and body with each other. The supply voltage of DTMOS is restricted by the diode built-in potential in bulk silicon technology. The PN diode between source and body must be reverse biased. So, this technique is only preferred for ultralow voltage (0.6V and below) circuits in bulk CMOS. Figure 9. DTMOS Circuit 7.10. SHORT CIRCUIT POWER SUPPRESSION Short circuit currents that comes into consideration when pairs of PMOS/NMOS transistors are conducting simultaneously resulting in Short circuit power. Figure 10. Short Circuit Power in CMOS Circuits
  • 7. International Journal of Modern Trends in Engineering and Research (IJMTER) Volume 02, Issue 01, [January - 2015] e-ISSN: 2349-9745, p-ISSN: 2393-8161 @IJMTER-2014, All rights Reserved 95 One way to reduce short circuit power is to keep the input and output rise/fall times the same. If the load capacitance is greater, so the output fall time is larger than the input rise time. The drain- source voltage of the PMOS transistor is 0. So the short-circuit power is 0. If the load capacitance is of less capacitance, the output fall time is less than the input rise time. For PMOS transistor the drain-source voltage is nearer to VDD during most of the transition period. Therefore we obtain large short circuit current. VIII. CONCLUSION The need for lower power systems is being driven by many market segments. Unfortunately designing for low power adds another dimension to the already complex design problem and the design has to be optimized for power as well as Performance and Area. REFERENCES [1] T. Burdet et.al, “Low power VLSI design”, Journal of VLSI Signal Processing Systems, vol.13, no. 2-3, pp. 203-221, August 1996. [2] Jingjing Fu, Zuying Luo, Xianlong Hong, Yici Cai, Sheldon X.-D. Tan, Zhu Pan, “VLSI On-Chip Power/Ground Network Optimization Considering Decap Leakage Currents” ASP-DAC 2005 page no 735-738. [3] S. Y. Zhao, K. K. Roy, C. K. Koh. “Decoupling capacitance allocation for power supply noise suppression” ISPD2001, Sonoma, 2001: 66-71. [4] Kanika Kaur and Arti Noor “STRATEGIES & METHODOLOGIES FOR LOW POWER VLSI DESIGNS: A REVIEW” International Journal of Advances in Engineering & Technology, May 2011.IJAET ISSN: 2231- 1963 Vol. 1,Issue 2,pp.159-165. [5] Shekar Borkar, "Design Challenges of Technology Scaling," IEEE Micro, July/August 1999, pg 23. [6] Y. Yeo, et.al, “Direct Tunneling Gate Leakage Current in Transistors with Ultrathin Silicon Nitride Gate Dielectric,” IEEE Electron Devices Letters, vol.21, no.11, pp. 540-542, Nov.2000. [7] Shinichiro Mutoh, Yasuyuki Matsuya , Takahko Aoki and Junzo Yamada “1-V Power Supply High-speed Digital Circuit Technology with Multi-threshold-Voltage CMOS”, IEEE, vol. 30, August 1995, pp.847-848. [8] Se-Joong Lee, “Adaptive Control Methodology for High-performance Low-power VLSI Design”, Frontiers in Adaptive Control, Shuang Cong (Ed.), ISBN: 978-953-7619-43-5.