SlideShare a Scribd company logo
ECOs
Rajesh Mekala
Agenda
● Motivation
● Flow
● Specific types of ECOs and examples
● Timing ECO tools
● Conclusions
* Various hyperlinks provided for an in-depth study
Definition
● ECO - Engineering Change Order
● Types
○ Timing ECO
■ setup, hold, max_trans, max_cap, max_fanout, min_pulse_width, min_period
■ Metal only timing ECOs
○ Functional ECO
■ Verification bugs
■ Last minute RTL changes
○ Power ECO
■ Leakage recovery
■ Area recovery
■ Dynamic power optimization
■ Metal only leakage recovery
○ Clock ECO
■ Setup, hold
Why?
● Implementation tool doesn’t fix all the violations
● Mis-correlation between implementation and sign-off
○ GBA/PBA
○ Sign-off margins are different from PD margins
○ PnR spef (less accurate) v.s. STARRC spef (sign-off)
○ Delay calculation
○ Modeling differences (NDM, .lib, CCS)
○ OCV modeling differences
● More sign-off corners than what is enabled in PnR
● Verification catches bugs
○ Do not want to restart PD, but directly change netlist
● Recover power based on sign-off views
● DFT mode hold violations
● Incremental changes to the design towards closure
○ Revert back the changes if progressing in a wrong direction
Why?
● Improve timing for any post-PD changes to design
○ DRC cleanup
○ Metal fill
○ IR drop fixes
● Functional RTL changes
● SI calculation, timing window changes
○ Accurate noise CCS models available in sign-off views
● Flatten all hierarchies in sign-off STA
○ Multibit, soft_macros etc.
● Integration of IPs at chip_top and fixing remaining violations
● Fine-tuned limited SDC constraints not supported by implementation tool
● Cross corner clock skew and jitter variations
● Incremental analysis/flow
● Clock frequency and cell specific (VT/type) margins
Need for physical aware ECOs
● Lot of iterations for design closure
○ Back and forth between PD and sign-off
○ Less correlation leads to more iterations
● Lower technology nodes have restrictive rules
○ min_vt_spacing
○ min_vt_area
○ cell specific derates
○ Need for R,C estimation during ECO generation
○ Double patterning DRC violations
● Miscorrelation between estimated and actual routes
● Lower technology nodes demand physical awareness
● Shorter Turnaround time
● Complex IPs and integration needs more automation
Timing ECO flow
● 20-30 loops in 4-5 weeks of time
is pretty common in industry
● Physical aware ECOs shorten the
timing closure time
● Multi-voltage, Multi corner and
Multi-mode scenarios need more
time for closure
● Conflicting setup and hold
violation fixes
● Increasing prudence in margining
for more yield and mass
production
Timing ECO fixes
setup hold max_trans
● Driver Upsizing
● Vt-swap
● Swap to lower channel length devices
● on-route buffer-insertion
● Re-placement (cluster)
● Net layer promotion (using route guides)
● Nets re-routing (shorter routes)
● Redundant inverter pair/buffer removal
● Flop vt-swap (lower setup requirement)
● Useful skew (clock ECO)
● Minimize clock crosstalk
● Remove data crosstalk
● NDR (remove SI)
● Shield critical nets
● load splitting
● downsize cells in non-critical fanout
● Route straightening (avoid jogs)
● Minimize number of vias
● Reduce clock jitter (better PLL, power grid)
● Register retiming
● 2-sigma timing corners
● Minimize clock tree divergence
● Downsizing
● Vt-swap
● On-route delay cell insertion
● Net layer demotion (route guides)
● Flop vt-swap (lower hold requirement)
● Clock adjustment
● Lockup latch
● Minimize clock crosstalk
● Dummy load insertion
● Net detours
● Upsizing
● Vt-swap
● On-route buffer-insertion
● Load splitting
● Buffer insertion
● SI prevention on high fanout
nets
Power ECO
● vt-swap
● downsizing
● Remove redundant inverter pairs/buffers
○ Preserve timing across scenarios
● fix_eco_leakage from synopsys (PTSI)
● Example leakage recovery algorithm
Improved Leakage Recovery flow
Metal only ECO
● Base layers frozen (dont_touch)
● Only changes in the metal connections
○ Use programmable filler cells
○ Use spare cells
● Use existing filler cells and refill the design in empty spaces
● Parallelize base tapeout and metal tapeouts efforts on hard macros
Metal only ECO
Timing ECO tools
● In-house MCMM based TCL scripts
● Tweaker (Dorado Automation)
● fix_eco_timing/fix_eco_leakage (Synopsys)
● TimingExplorer (ICScape)
● Tempus (Cadence)
Functional ECO
● Implement the incremental RTL change onto the final netlist instead of re-
doing the PD work
● LEC to make sure new netlist and new RTL and equivalent
● Functional metal ECOs after TO
● Conformal is the industry standard
Upcoming Technologies
● Clock ECO
○ setup/hold fixes
● Dynamic power optimization
○ SAIF/VCD/Toggle rate
● Route control in timing ECOs
● Automatic mapping and legalization for functional ECO gates
● Robust Minimal Physical Impact flows
● Local placement re-clustering algorithms
Appendix
Reading Material
● Thesis on spare cells methodology
● Smart timing closure
● MCMM timing closure system
● Dorado website
● Physical aware timing ECOs
● Practical ECOs using conformal

More Related Content

What's hot

Powerplanning
PowerplanningPowerplanning
Powerplanning
VLSI SYSTEM Design
 
Floor plan & Power Plan
Floor plan & Power Plan Floor plan & Power Plan
Floor plan & Power Plan
Prathyusha Madapalli
 
ZERO WIRE LOAD MODEL.pptx
ZERO WIRE LOAD MODEL.pptxZERO WIRE LOAD MODEL.pptx
ZERO WIRE LOAD MODEL.pptx
VishalYadav29718
 
Power Reduction Techniques
Power Reduction TechniquesPower Reduction Techniques
Power Reduction Techniques
Rajesh M
 
Timing and Design Closure in Physical Design Flows
Timing and Design Closure in Physical Design Flows Timing and Design Closure in Physical Design Flows
Timing and Design Closure in Physical Design Flows
Olivier Coudert
 
Clock Tree Synthesis.pdf
Clock Tree Synthesis.pdfClock Tree Synthesis.pdf
Clock Tree Synthesis.pdf
Ahmed Abdelazeem
 
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
VLSI SYSTEM Design
 
Sta by usha_mehta
Sta by usha_mehtaSta by usha_mehta
Sta by usha_mehta
Usha Mehta
 
Vlsi best notes google docs
Vlsi best notes   google docsVlsi best notes   google docs
Vlsi best notes google docs
Rajesh M
 
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation SystemSynopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Mostafa Khamis
 
Physical design-complete
Physical design-completePhysical design-complete
Physical design-complete
Murali Rai
 
Inputs of physical design
Inputs of physical designInputs of physical design
Inputs of physical design
Kishore Sai Addanki
 
STA.pdf
STA.pdfSTA.pdf
VLSI Physical Design Flow(http://www.vlsisystemdesign.com)
VLSI Physical Design Flow(http://www.vlsisystemdesign.com)VLSI Physical Design Flow(http://www.vlsisystemdesign.com)
VLSI Physical Design Flow(http://www.vlsisystemdesign.com)
VLSI SYSTEM Design
 
Basic synthesis flow and commands in digital VLSI
Basic synthesis flow and commands in digital VLSIBasic synthesis flow and commands in digital VLSI
Basic synthesis flow and commands in digital VLSI
Surya Raj
 
Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)
shaik sharief
 
Pd flow i
Pd flow iPd flow i
Pd flow i
Alok Kumar
 
ASIC Design Flow | Physical Design | VLSI
ASIC Design Flow | Physical Design | VLSI ASIC Design Flow | Physical Design | VLSI
ASIC Design Flow | Physical Design | VLSI
Jayant Suthar
 
Crosstalk.pdf
Crosstalk.pdfCrosstalk.pdf
Crosstalk.pdf
Ahmed Abdelazeem
 
ASIC_Design.pdf
ASIC_Design.pdfASIC_Design.pdf
ASIC_Design.pdf
Ahmed Abdelazeem
 

What's hot (20)

Powerplanning
PowerplanningPowerplanning
Powerplanning
 
Floor plan & Power Plan
Floor plan & Power Plan Floor plan & Power Plan
Floor plan & Power Plan
 
ZERO WIRE LOAD MODEL.pptx
ZERO WIRE LOAD MODEL.pptxZERO WIRE LOAD MODEL.pptx
ZERO WIRE LOAD MODEL.pptx
 
Power Reduction Techniques
Power Reduction TechniquesPower Reduction Techniques
Power Reduction Techniques
 
Timing and Design Closure in Physical Design Flows
Timing and Design Closure in Physical Design Flows Timing and Design Closure in Physical Design Flows
Timing and Design Closure in Physical Design Flows
 
Clock Tree Synthesis.pdf
Clock Tree Synthesis.pdfClock Tree Synthesis.pdf
Clock Tree Synthesis.pdf
 
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
 
Sta by usha_mehta
Sta by usha_mehtaSta by usha_mehta
Sta by usha_mehta
 
Vlsi best notes google docs
Vlsi best notes   google docsVlsi best notes   google docs
Vlsi best notes google docs
 
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation SystemSynopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
 
Physical design-complete
Physical design-completePhysical design-complete
Physical design-complete
 
Inputs of physical design
Inputs of physical designInputs of physical design
Inputs of physical design
 
STA.pdf
STA.pdfSTA.pdf
STA.pdf
 
VLSI Physical Design Flow(http://www.vlsisystemdesign.com)
VLSI Physical Design Flow(http://www.vlsisystemdesign.com)VLSI Physical Design Flow(http://www.vlsisystemdesign.com)
VLSI Physical Design Flow(http://www.vlsisystemdesign.com)
 
Basic synthesis flow and commands in digital VLSI
Basic synthesis flow and commands in digital VLSIBasic synthesis flow and commands in digital VLSI
Basic synthesis flow and commands in digital VLSI
 
Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)
 
Pd flow i
Pd flow iPd flow i
Pd flow i
 
ASIC Design Flow | Physical Design | VLSI
ASIC Design Flow | Physical Design | VLSI ASIC Design Flow | Physical Design | VLSI
ASIC Design Flow | Physical Design | VLSI
 
Crosstalk.pdf
Crosstalk.pdfCrosstalk.pdf
Crosstalk.pdf
 
ASIC_Design.pdf
ASIC_Design.pdfASIC_Design.pdf
ASIC_Design.pdf
 

Similar to Eco

Open_IoT_Summit-Europe-2016-Building_a_Drone_from_scratch
Open_IoT_Summit-Europe-2016-Building_a_Drone_from_scratchOpen_IoT_Summit-Europe-2016-Building_a_Drone_from_scratch
Open_IoT_Summit-Europe-2016-Building_a_Drone_from_scratchIgor Stoppa
 
Low power
Low powerLow power
Low power
preeti banra
 
Mirko Damiani - An Embedded soft real time distributed system in Go
Mirko Damiani - An Embedded soft real time distributed system in GoMirko Damiani - An Embedded soft real time distributed system in Go
Mirko Damiani - An Embedded soft real time distributed system in Go
linuxlab_conf
 
SOC Chip Basics
SOC Chip BasicsSOC Chip Basics
SOC Chip Basics
A B Shinde
 
ELC 2016 - I2C hacking demystified
ELC 2016 - I2C hacking demystifiedELC 2016 - I2C hacking demystified
ELC 2016 - I2C hacking demystifiedIgor Stoppa
 
Micro-controllers (PIC) based Application Development
Micro-controllers (PIC) based Application DevelopmentMicro-controllers (PIC) based Application Development
Micro-controllers (PIC) based Application Development
Emertxe Information Technologies Pvt Ltd
 
Rtl design optimizations and tradeoffs
Rtl design optimizations and tradeoffsRtl design optimizations and tradeoffs
Rtl design optimizations and tradeoffsGrace Abraham
 
High Speed Design Closure Techniques-Balachander Krishnamurthy
High Speed Design Closure Techniques-Balachander KrishnamurthyHigh Speed Design Closure Techniques-Balachander Krishnamurthy
High Speed Design Closure Techniques-Balachander Krishnamurthy
Massimo Talia
 
eTPU to GTM Migration Presentation
eTPU to GTM Migration PresentationeTPU to GTM Migration Presentation
eTPU to GTM Migration Presentation
Parker Mosman
 
RxNetty vs Tomcat Performance Results
RxNetty vs Tomcat Performance ResultsRxNetty vs Tomcat Performance Results
RxNetty vs Tomcat Performance Results
Brendan Gregg
 
BAXTER phase 1b
BAXTER phase 1bBAXTER phase 1b
BAXTER phase 1b
Franck MIKULECZ
 
PTInstitute - Syllabus of Embedded System Training in Bangalore
PTInstitute - Syllabus of Embedded System Training in BangalorePTInstitute - Syllabus of Embedded System Training in Bangalore
PTInstitute - Syllabus of Embedded System Training in Bangalore
Professional Training Institute
 
SoC Power Reduction
SoC Power ReductionSoC Power Reduction
SoC Power Reduction
Mahesh Dananjaya
 
Mechanical Engineering Seminar 2017_3
Mechanical Engineering Seminar 2017_3Mechanical Engineering Seminar 2017_3
Mechanical Engineering Seminar 2017_3
Nicholas Naing
 
19th Session.pptx
19th Session.pptx19th Session.pptx
19th Session.pptx
IkhwaniSaputra
 
Design and Implementation of Multiplier using Advanced Booth Multiplier and R...
Design and Implementation of Multiplier using Advanced Booth Multiplier and R...Design and Implementation of Multiplier using Advanced Booth Multiplier and R...
Design and Implementation of Multiplier using Advanced Booth Multiplier and R...
IRJET Journal
 
Turbomachinery: Industrial Pump Design Optimization
Turbomachinery: Industrial Pump Design OptimizationTurbomachinery: Industrial Pump Design Optimization
Turbomachinery: Industrial Pump Design Optimization
SimScale
 
Migrating to Apache Spark at Netflix
Migrating to Apache Spark at NetflixMigrating to Apache Spark at Netflix
Migrating to Apache Spark at Netflix
Databricks
 
VLSI Power Reduction
VLSI Power ReductionVLSI Power Reduction
VLSI Power Reduction
Mahesh Dananjaya
 

Similar to Eco (20)

Open_IoT_Summit-Europe-2016-Building_a_Drone_from_scratch
Open_IoT_Summit-Europe-2016-Building_a_Drone_from_scratchOpen_IoT_Summit-Europe-2016-Building_a_Drone_from_scratch
Open_IoT_Summit-Europe-2016-Building_a_Drone_from_scratch
 
Final Presentation
Final PresentationFinal Presentation
Final Presentation
 
Low power
Low powerLow power
Low power
 
Mirko Damiani - An Embedded soft real time distributed system in Go
Mirko Damiani - An Embedded soft real time distributed system in GoMirko Damiani - An Embedded soft real time distributed system in Go
Mirko Damiani - An Embedded soft real time distributed system in Go
 
SOC Chip Basics
SOC Chip BasicsSOC Chip Basics
SOC Chip Basics
 
ELC 2016 - I2C hacking demystified
ELC 2016 - I2C hacking demystifiedELC 2016 - I2C hacking demystified
ELC 2016 - I2C hacking demystified
 
Micro-controllers (PIC) based Application Development
Micro-controllers (PIC) based Application DevelopmentMicro-controllers (PIC) based Application Development
Micro-controllers (PIC) based Application Development
 
Rtl design optimizations and tradeoffs
Rtl design optimizations and tradeoffsRtl design optimizations and tradeoffs
Rtl design optimizations and tradeoffs
 
High Speed Design Closure Techniques-Balachander Krishnamurthy
High Speed Design Closure Techniques-Balachander KrishnamurthyHigh Speed Design Closure Techniques-Balachander Krishnamurthy
High Speed Design Closure Techniques-Balachander Krishnamurthy
 
eTPU to GTM Migration Presentation
eTPU to GTM Migration PresentationeTPU to GTM Migration Presentation
eTPU to GTM Migration Presentation
 
RxNetty vs Tomcat Performance Results
RxNetty vs Tomcat Performance ResultsRxNetty vs Tomcat Performance Results
RxNetty vs Tomcat Performance Results
 
BAXTER phase 1b
BAXTER phase 1bBAXTER phase 1b
BAXTER phase 1b
 
PTInstitute - Syllabus of Embedded System Training in Bangalore
PTInstitute - Syllabus of Embedded System Training in BangalorePTInstitute - Syllabus of Embedded System Training in Bangalore
PTInstitute - Syllabus of Embedded System Training in Bangalore
 
SoC Power Reduction
SoC Power ReductionSoC Power Reduction
SoC Power Reduction
 
Mechanical Engineering Seminar 2017_3
Mechanical Engineering Seminar 2017_3Mechanical Engineering Seminar 2017_3
Mechanical Engineering Seminar 2017_3
 
19th Session.pptx
19th Session.pptx19th Session.pptx
19th Session.pptx
 
Design and Implementation of Multiplier using Advanced Booth Multiplier and R...
Design and Implementation of Multiplier using Advanced Booth Multiplier and R...Design and Implementation of Multiplier using Advanced Booth Multiplier and R...
Design and Implementation of Multiplier using Advanced Booth Multiplier and R...
 
Turbomachinery: Industrial Pump Design Optimization
Turbomachinery: Industrial Pump Design OptimizationTurbomachinery: Industrial Pump Design Optimization
Turbomachinery: Industrial Pump Design Optimization
 
Migrating to Apache Spark at Netflix
Migrating to Apache Spark at NetflixMigrating to Apache Spark at Netflix
Migrating to Apache Spark at Netflix
 
VLSI Power Reduction
VLSI Power ReductionVLSI Power Reduction
VLSI Power Reduction
 

More from Rajesh M

Daily Habits.pdf
Daily Habits.pdfDaily Habits.pdf
Daily Habits.pdf
Rajesh M
 
Clock relationships
Clock relationshipsClock relationships
Clock relationships
Rajesh M
 
Node Scaling Objectives
Node Scaling ObjectivesNode Scaling Objectives
Node Scaling Objectives
Rajesh M
 
Technology scaling introduction
Technology scaling introductionTechnology scaling introduction
Technology scaling introduction
Rajesh M
 
Problems between Synthesis and preCTS
Problems between Synthesis and preCTSProblems between Synthesis and preCTS
Problems between Synthesis and preCTS
Rajesh M
 
Setup fixing
Setup fixingSetup fixing
Setup fixing
Rajesh M
 
#50 ethics
#50 ethics#50 ethics
#50 ethics
Rajesh M
 
680report final
680report final680report final
680report final
Rajesh M
 
676.v3
676.v3676.v3
676.v3
Rajesh M
 
Vlsi interview questions compilation
Vlsi interview questions compilationVlsi interview questions compilation
Vlsi interview questions compilation
Rajesh M
 
Clock mesh sizing slides
Clock mesh sizing slidesClock mesh sizing slides
Clock mesh sizing slides
Rajesh M
 

More from Rajesh M (11)

Daily Habits.pdf
Daily Habits.pdfDaily Habits.pdf
Daily Habits.pdf
 
Clock relationships
Clock relationshipsClock relationships
Clock relationships
 
Node Scaling Objectives
Node Scaling ObjectivesNode Scaling Objectives
Node Scaling Objectives
 
Technology scaling introduction
Technology scaling introductionTechnology scaling introduction
Technology scaling introduction
 
Problems between Synthesis and preCTS
Problems between Synthesis and preCTSProblems between Synthesis and preCTS
Problems between Synthesis and preCTS
 
Setup fixing
Setup fixingSetup fixing
Setup fixing
 
#50 ethics
#50 ethics#50 ethics
#50 ethics
 
680report final
680report final680report final
680report final
 
676.v3
676.v3676.v3
676.v3
 
Vlsi interview questions compilation
Vlsi interview questions compilationVlsi interview questions compilation
Vlsi interview questions compilation
 
Clock mesh sizing slides
Clock mesh sizing slidesClock mesh sizing slides
Clock mesh sizing slides
 

Recently uploaded

Final project report on grocery store management system..pdf
Final project report on grocery store management system..pdfFinal project report on grocery store management system..pdf
Final project report on grocery store management system..pdf
Kamal Acharya
 
AP LAB PPT.pdf ap lab ppt no title specific
AP LAB PPT.pdf ap lab ppt no title specificAP LAB PPT.pdf ap lab ppt no title specific
AP LAB PPT.pdf ap lab ppt no title specific
BrazilAccount1
 
RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...
RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...
RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...
thanhdowork
 
space technology lecture notes on satellite
space technology lecture notes on satellitespace technology lecture notes on satellite
space technology lecture notes on satellite
ongomchris
 
English lab ppt no titlespecENG PPTt.pdf
English lab ppt no titlespecENG PPTt.pdfEnglish lab ppt no titlespecENG PPTt.pdf
English lab ppt no titlespecENG PPTt.pdf
BrazilAccount1
 
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&BDesign and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
Sreedhar Chowdam
 
The role of big data in decision making.
The role of big data in decision making.The role of big data in decision making.
The role of big data in decision making.
ankuprajapati0525
 
Planning Of Procurement o different goods and services
Planning Of Procurement o different goods and servicesPlanning Of Procurement o different goods and services
Planning Of Procurement o different goods and services
JoytuBarua2
 
Immunizing Image Classifiers Against Localized Adversary Attacks
Immunizing Image Classifiers Against Localized Adversary AttacksImmunizing Image Classifiers Against Localized Adversary Attacks
Immunizing Image Classifiers Against Localized Adversary Attacks
gerogepatton
 
J.Yang, ICLR 2024, MLILAB, KAIST AI.pdf
J.Yang,  ICLR 2024, MLILAB, KAIST AI.pdfJ.Yang,  ICLR 2024, MLILAB, KAIST AI.pdf
J.Yang, ICLR 2024, MLILAB, KAIST AI.pdf
MLILAB
 
road safety engineering r s e unit 3.pdf
road safety engineering  r s e unit 3.pdfroad safety engineering  r s e unit 3.pdf
road safety engineering r s e unit 3.pdf
VENKATESHvenky89705
 
MCQ Soil mechanics questions (Soil shear strength).pdf
MCQ Soil mechanics questions (Soil shear strength).pdfMCQ Soil mechanics questions (Soil shear strength).pdf
MCQ Soil mechanics questions (Soil shear strength).pdf
Osamah Alsalih
 
Runway Orientation Based on the Wind Rose Diagram.pptx
Runway Orientation Based on the Wind Rose Diagram.pptxRunway Orientation Based on the Wind Rose Diagram.pptx
Runway Orientation Based on the Wind Rose Diagram.pptx
SupreethSP4
 
一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理
一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理
一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理
ydteq
 
weather web application report.pdf
weather web application report.pdfweather web application report.pdf
weather web application report.pdf
Pratik Pawar
 
ethical hacking-mobile hacking methods.ppt
ethical hacking-mobile hacking methods.pptethical hacking-mobile hacking methods.ppt
ethical hacking-mobile hacking methods.ppt
Jayaprasanna4
 
Investor-Presentation-Q1FY2024 investor presentation document.pptx
Investor-Presentation-Q1FY2024 investor presentation document.pptxInvestor-Presentation-Q1FY2024 investor presentation document.pptx
Investor-Presentation-Q1FY2024 investor presentation document.pptx
AmarGB2
 
CME397 Surface Engineering- Professional Elective
CME397 Surface Engineering- Professional ElectiveCME397 Surface Engineering- Professional Elective
CME397 Surface Engineering- Professional Elective
karthi keyan
 
WATER CRISIS and its solutions-pptx 1234
WATER CRISIS and its solutions-pptx 1234WATER CRISIS and its solutions-pptx 1234
WATER CRISIS and its solutions-pptx 1234
AafreenAbuthahir2
 
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
bakpo1
 

Recently uploaded (20)

Final project report on grocery store management system..pdf
Final project report on grocery store management system..pdfFinal project report on grocery store management system..pdf
Final project report on grocery store management system..pdf
 
AP LAB PPT.pdf ap lab ppt no title specific
AP LAB PPT.pdf ap lab ppt no title specificAP LAB PPT.pdf ap lab ppt no title specific
AP LAB PPT.pdf ap lab ppt no title specific
 
RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...
RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...
RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...
 
space technology lecture notes on satellite
space technology lecture notes on satellitespace technology lecture notes on satellite
space technology lecture notes on satellite
 
English lab ppt no titlespecENG PPTt.pdf
English lab ppt no titlespecENG PPTt.pdfEnglish lab ppt no titlespecENG PPTt.pdf
English lab ppt no titlespecENG PPTt.pdf
 
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&BDesign and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
 
The role of big data in decision making.
The role of big data in decision making.The role of big data in decision making.
The role of big data in decision making.
 
Planning Of Procurement o different goods and services
Planning Of Procurement o different goods and servicesPlanning Of Procurement o different goods and services
Planning Of Procurement o different goods and services
 
Immunizing Image Classifiers Against Localized Adversary Attacks
Immunizing Image Classifiers Against Localized Adversary AttacksImmunizing Image Classifiers Against Localized Adversary Attacks
Immunizing Image Classifiers Against Localized Adversary Attacks
 
J.Yang, ICLR 2024, MLILAB, KAIST AI.pdf
J.Yang,  ICLR 2024, MLILAB, KAIST AI.pdfJ.Yang,  ICLR 2024, MLILAB, KAIST AI.pdf
J.Yang, ICLR 2024, MLILAB, KAIST AI.pdf
 
road safety engineering r s e unit 3.pdf
road safety engineering  r s e unit 3.pdfroad safety engineering  r s e unit 3.pdf
road safety engineering r s e unit 3.pdf
 
MCQ Soil mechanics questions (Soil shear strength).pdf
MCQ Soil mechanics questions (Soil shear strength).pdfMCQ Soil mechanics questions (Soil shear strength).pdf
MCQ Soil mechanics questions (Soil shear strength).pdf
 
Runway Orientation Based on the Wind Rose Diagram.pptx
Runway Orientation Based on the Wind Rose Diagram.pptxRunway Orientation Based on the Wind Rose Diagram.pptx
Runway Orientation Based on the Wind Rose Diagram.pptx
 
一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理
一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理
一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理
 
weather web application report.pdf
weather web application report.pdfweather web application report.pdf
weather web application report.pdf
 
ethical hacking-mobile hacking methods.ppt
ethical hacking-mobile hacking methods.pptethical hacking-mobile hacking methods.ppt
ethical hacking-mobile hacking methods.ppt
 
Investor-Presentation-Q1FY2024 investor presentation document.pptx
Investor-Presentation-Q1FY2024 investor presentation document.pptxInvestor-Presentation-Q1FY2024 investor presentation document.pptx
Investor-Presentation-Q1FY2024 investor presentation document.pptx
 
CME397 Surface Engineering- Professional Elective
CME397 Surface Engineering- Professional ElectiveCME397 Surface Engineering- Professional Elective
CME397 Surface Engineering- Professional Elective
 
WATER CRISIS and its solutions-pptx 1234
WATER CRISIS and its solutions-pptx 1234WATER CRISIS and its solutions-pptx 1234
WATER CRISIS and its solutions-pptx 1234
 
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
 

Eco

  • 2. Agenda ● Motivation ● Flow ● Specific types of ECOs and examples ● Timing ECO tools ● Conclusions * Various hyperlinks provided for an in-depth study
  • 3. Definition ● ECO - Engineering Change Order ● Types ○ Timing ECO ■ setup, hold, max_trans, max_cap, max_fanout, min_pulse_width, min_period ■ Metal only timing ECOs ○ Functional ECO ■ Verification bugs ■ Last minute RTL changes ○ Power ECO ■ Leakage recovery ■ Area recovery ■ Dynamic power optimization ■ Metal only leakage recovery ○ Clock ECO ■ Setup, hold
  • 4. Why? ● Implementation tool doesn’t fix all the violations ● Mis-correlation between implementation and sign-off ○ GBA/PBA ○ Sign-off margins are different from PD margins ○ PnR spef (less accurate) v.s. STARRC spef (sign-off) ○ Delay calculation ○ Modeling differences (NDM, .lib, CCS) ○ OCV modeling differences ● More sign-off corners than what is enabled in PnR ● Verification catches bugs ○ Do not want to restart PD, but directly change netlist ● Recover power based on sign-off views ● DFT mode hold violations ● Incremental changes to the design towards closure ○ Revert back the changes if progressing in a wrong direction
  • 5. Why? ● Improve timing for any post-PD changes to design ○ DRC cleanup ○ Metal fill ○ IR drop fixes ● Functional RTL changes ● SI calculation, timing window changes ○ Accurate noise CCS models available in sign-off views ● Flatten all hierarchies in sign-off STA ○ Multibit, soft_macros etc. ● Integration of IPs at chip_top and fixing remaining violations ● Fine-tuned limited SDC constraints not supported by implementation tool ● Cross corner clock skew and jitter variations ● Incremental analysis/flow ● Clock frequency and cell specific (VT/type) margins
  • 6. Need for physical aware ECOs ● Lot of iterations for design closure ○ Back and forth between PD and sign-off ○ Less correlation leads to more iterations ● Lower technology nodes have restrictive rules ○ min_vt_spacing ○ min_vt_area ○ cell specific derates ○ Need for R,C estimation during ECO generation ○ Double patterning DRC violations ● Miscorrelation between estimated and actual routes ● Lower technology nodes demand physical awareness ● Shorter Turnaround time ● Complex IPs and integration needs more automation
  • 7. Timing ECO flow ● 20-30 loops in 4-5 weeks of time is pretty common in industry ● Physical aware ECOs shorten the timing closure time ● Multi-voltage, Multi corner and Multi-mode scenarios need more time for closure ● Conflicting setup and hold violation fixes ● Increasing prudence in margining for more yield and mass production
  • 8. Timing ECO fixes setup hold max_trans ● Driver Upsizing ● Vt-swap ● Swap to lower channel length devices ● on-route buffer-insertion ● Re-placement (cluster) ● Net layer promotion (using route guides) ● Nets re-routing (shorter routes) ● Redundant inverter pair/buffer removal ● Flop vt-swap (lower setup requirement) ● Useful skew (clock ECO) ● Minimize clock crosstalk ● Remove data crosstalk ● NDR (remove SI) ● Shield critical nets ● load splitting ● downsize cells in non-critical fanout ● Route straightening (avoid jogs) ● Minimize number of vias ● Reduce clock jitter (better PLL, power grid) ● Register retiming ● 2-sigma timing corners ● Minimize clock tree divergence ● Downsizing ● Vt-swap ● On-route delay cell insertion ● Net layer demotion (route guides) ● Flop vt-swap (lower hold requirement) ● Clock adjustment ● Lockup latch ● Minimize clock crosstalk ● Dummy load insertion ● Net detours ● Upsizing ● Vt-swap ● On-route buffer-insertion ● Load splitting ● Buffer insertion ● SI prevention on high fanout nets
  • 9. Power ECO ● vt-swap ● downsizing ● Remove redundant inverter pairs/buffers ○ Preserve timing across scenarios ● fix_eco_leakage from synopsys (PTSI) ● Example leakage recovery algorithm
  • 11. Metal only ECO ● Base layers frozen (dont_touch) ● Only changes in the metal connections ○ Use programmable filler cells ○ Use spare cells ● Use existing filler cells and refill the design in empty spaces ● Parallelize base tapeout and metal tapeouts efforts on hard macros
  • 13. Timing ECO tools ● In-house MCMM based TCL scripts ● Tweaker (Dorado Automation) ● fix_eco_timing/fix_eco_leakage (Synopsys) ● TimingExplorer (ICScape) ● Tempus (Cadence)
  • 14. Functional ECO ● Implement the incremental RTL change onto the final netlist instead of re- doing the PD work ● LEC to make sure new netlist and new RTL and equivalent ● Functional metal ECOs after TO ● Conformal is the industry standard
  • 15. Upcoming Technologies ● Clock ECO ○ setup/hold fixes ● Dynamic power optimization ○ SAIF/VCD/Toggle rate ● Route control in timing ECOs ● Automatic mapping and legalization for functional ECO gates ● Robust Minimal Physical Impact flows ● Local placement re-clustering algorithms
  • 17. Reading Material ● Thesis on spare cells methodology ● Smart timing closure ● MCMM timing closure system ● Dorado website ● Physical aware timing ECOs ● Practical ECOs using conformal