SlideShare a Scribd company logo
1 of 60
Download to read offline
Digital VLSI Design
Flow
Dr Usha Mehta
usha.mehta@nirmauni.ac.in
1/24/2019
Acknowledgement
This presentation has been summarized from
various books, papers, websites and presentations
related to the topic all over the world. I couldn’t
remember where these large pull of hints and work
come from. However, I’d like to thank all professors
and scientists who created such a good work on
this emerging field. Without those efforts in this
very emerging technology, these notes and slides
can’t be finished.
• Suppose you have an
idea/requirement/application….
• And you want to develop a Electronic System
that works for it..
Typical Considerations…
• Cost Constraints
• Design Time
• Component Supply
• Prior Experience
• Training
• Contact Arrangement ( User Constraints)
• Size/Volume/Weight Constraints
• Power Source
• Power Consumption Constraint
• Rapid prototyping
• In-service updatebility/product upgrade
The system will include
• Mechanical Parts
Package etc
• Electronics Parts
PCB
IC
Components
Wires
Connectors
Integrated Circuits
• Based on Application
Linear (Analog) – Digital - Mixed
• Based on Fabrication Technology
Monolithic - Hybrid
• Based on Device
BJT-CMOS
• Based on Device Count
SSI-MSI-LSI-VLSI-ULSI
When you are system developer….
You may use
• Standard Product ICs
Off – the-self electronic component that you
purchase and use
• Application Specific Integrated Circuits (ASICs)
Specifically designed by you for your application
requirements
Digital ICs
1/24/2019
What the Digital ICs do?
• Fixed Functionality
• Processor
• Memory
• PLD
How the Digital ICs do?
Integrated Circuits
• Standard Products-ASIC
• Fixed Logic-Programmable
• Analog-Digital-Mixed Mode
• Memory-Processor
• CPLD-FPGA-Processor
• Microprocessor-Microcontroller-Digital Signal
Processor
• ASIC-SoC-NoC
• ……
ASIC Design Methodologies
• Full Custom
Consider circuit performance vs design time
Design + Fabrication + testing time
Technology Window
• Semi Custom
Updateability
Divide and conquer…..
Use readily available….
• Programmable
Reprogrammable/erasable
Field Programmable
Very fast…
Design Hierarchy
Top Down Design
• The initial work is quite abstract and
theoretical and there is no direct connections
to silicon until many steps have been
completed.
• Acceptable in modern digital system design
• Co-designing with H/W-S/W is critical
Bottom-Up Design
• Starts at the silicon or circuit level and builds
primitive units such as logic gates, adders and
registers as first step
Top-Down Approach
specification
behavior
register-
transfer
logic
circuit
layout
English
Executable
program
Sequential
machines
Logic gates
transistors
rectangles
Throughput,
design time
Function units,
clock cycles
Literals,
logic depth
nanoseconds
microns
Design Abstractions
specification
behavior
register-
transfer
logic
circuit
layout
specification
behavior
register-
transfer
logic
Y Chart by D Gajski
Specification
• Specification of the size, speed, power and
functionality of the VLSI system.
• Decisions on the architecture, e.g., RISC/CISC, #
of ALU’s, pipeline structure, cache size, etc. Such
decisions can provide an accurate estimation of
the system performance, die size, power
consumption, etc.
Architectural Design
Functional/Behavioural Design
• Identify main functional units and their
interconnections. No details of implementation.
• Functional design is generally done with HDL
Register Transfer Level
+
+
0010
0001
0100
0011
• Components, data types
• Design the logic, e.g., Boolean expressions,
control flow, word width, register
allocation, etc.
• RTL is expressed in a HDL mostly
synthesized from behavioral description
Logic Level
• Discrete Level, Discrete Time
• Design the circuit including gates, transistors,
interconnections, etc. The outcome is called a
netlist.
• Homework
ISCAS Gate level
Netlist
Circuit level
• Continuous Voltage, Continuous time
Layout
• Convert the netlist into a geometric
representation. The outcome is called a layout.
Terminology…
Few more words…
• Circuit Partitioning – Partition a large circuit into
sub-circuits (called blocks). Factors like #blocks,
block sizes, interconnection between blocks, etc., are
considered.
• Floorplanning – Set up a plan for a good layout.
Place the modules (modules can be blocks,
functional units, etc.) at an early stage when details
like shape, area, I/O pin positions of the modules,
…, are not yet fixed.
• Placement – Exact placement of the modules
(modules can be gates, standard cells, etc.) when
details of the module design are known. The goal is
to minimize the delay, total area and interconnect
cost.
• Routing – Complete the interconnections between
modules. Factors like critical path, clock skew,
wire spacing, etc., are considered. Include global
routing and detailed routing.
• Compaction – Compress the layout from all
directions to minimize the total chip area.
• Verification – Check the correctness of the
layout. Include DRC (Design Rule Checking),
circuit extraction (generate a circuit from the
layout to compare with the original netlist),
performance verification (extract geometric
information to compute resistance, capacitance,
delay, etc.)
Cont….
• Logic Synthesizer
Translation from RTL specification to netlist
Adequate for the design that do not have
critical performance parameter
Provides room to make design improvement
Understanding of device architecture is
necessary
• Gate Level Simulation
To ensure correctness of synthesis
translation
Vendor supplied parameters are used to
simulate the actual target device parameters
Cont…
• Extraction
 Actual resistance and capacitance figures modelled
for interconnections are extracted to simulate timing
performance
• Post Layout Simulation
 Functionality taking care of timings
 Time extraction imported
 Both gate and interconnection delays are considered
• Back Annotation
 To update the initial circuit data with information
that was obtained later in the design cycle
 Passing the information related to the extra load that
may occur in practice
Cont…
• Extended Testing
For ASIC, GA, SoG.
Not for FPGA
• Device Programming
Via JTAG port
For FPGA
Hierarchical Des
• Regularity
• Modularity
• Locality
• Does it mean that SRAM/DRAM is much
ahead compared to microprocessor??
Memory wall……
Processor vs. Memory
Why the processors are faster??
• A question from computer architecture…..
• https://slideplayer.com/slide/7567250/
ASIC Design Styles
• Full Custom IC Design
• Sea-of-Gates (Mask Programmable)
• Gate Arrays (Mask Programmable)
• Embedded Gate Arrays (Mask Programmable)
• Standard Cell Based IC Design
• PLD (PAL-PLA-CPLD)
• FPGA
• Platform/Structured ASIC
• Software Programmable Devices
• Commercial Off-the-Cell (COTS) Devices
Full Custom ASIC Design
• The Design flow ( we already learnt!)
Full-custom ICs are the most expensive
to manufacture and to design
Manufacturing lead time (not including design time!) is
typically 8 weeks
• When does it make sense?
there are no suitable existing cell libraries available
existing logic cells are not fast enough
logic cells are not small enough
logic cells consume too much power
ASIC is so specialized that some circuits must be
custom designed
• Trends: fewer and fewer full-custom ICs are being
designed (excluding mixed analog/digital ASICs)
Mask Programmable Gate Arrays
• Mapping of designs on to the gates in the array
• Gates are designed, characterized and
prefabricated
• Customized placement and interconnect
• Fabrication of only top-most interconnects
• Lead time is few days to two weeks
• Channelless Gate Array
• Channelled Gate Array
• Structured Gate Array
Sea-of-Gates (Channelless Gate Array)
SoG
• Channelless gate array (sea-of-gates or SOG)
 there are no predefined areas set aside
for routing between cells
 we customize the contact layer that
defines the connections between metal1 and transistors
 when use area of transistor for routing,
do not make any contacts to the device underneath
• Characteristics
 only some (the top few) mask layers
are customized – the interconnect
 manufacturing lead time is
between 2 days and 2 weeks
Channelled Gate Arrays
Channelled Gate Arrays….
• Channelled gate array
we leave space between the rows of transistors
for wiring
• Characteristics
only interconnect is customized
the interconnect uses predefined spaces
between rows
manufacturing lead time is between 2 days
and 2 weeks
Embedded Gate Array
Structured Gate Array
Embedded Gate Array
 combines features of CBIC and MGA
 motivation: MGA has only fixed gate-array base cell;
difficult and inefficient implementation of memory
 we set aside some IC area and dedicate it to a specific
function
(contain different cells, more suitable for building memory
cells, for example, or complete block, such as a
microcontroller)
• Characteristics
 only some (the top few) mask layers
are customized – the interconnect
 custom blocks can be embedded
 manufacturing lead time is
between 2 days and 2 weeks
 problem: embedded function is fixed
Standard Cell
Standard Cell Based Design
• Cell-Based ASIC (CBIC) uses predesigned cells
(AND, OR gates, multiplexers, flip-flops, ...)
• Standard-cell areas are built of rows of standard
cells
• Standard-cell areas can be used in combination
with larger predesigned cells (microcontrollers, or
even microprocessors), known as megacells
Standard Cell Based Design
Cell Based ASIC (CBIC)
Standard Cell Design
• If number of metal layers is limited, feedthrough
cells must be used to route across multiple cell
rows
Standard Cell Based Design
Platform ASICs
• A pre-manufactured device, used to implement a
custom system on a chip (SoC)
• consists of a group of slices offering different gate
ranges, memory, I/O, PLLs and other intellectual
property such as high speed
Serializer/Deserializers (SerDes)
• A slice may be customized through few layers of
metal for a user application.
• Since only a few layers of metal are customized
for any given design, NRE costs are significantly
lower than a cell-based ASIC where a full mask
set is needed.
Platform ASIC : example
PLD (PAL-PLA-CPLD)
Programmable Logic Devices
• PLDs
 standard ICs, available in standard configurations
 sold in high volume to many different customers
 PLDs may be configured or programmed to create
a part customized to specific application
• Characteristics
 no customized mask layers or logic cells
 fast design turnaround
 a single large block of programmable interconnect
 a matrix of logic macrocells that usually consists of
programmable array logic followed by a flip-flop or latch
PLDs…..
• Types of PLDs
 PROM: uses metal fuse that can be blown permanently)
 EPROM: used programmable MOS transistors whose
characteristics are altering by applying a high voltage
 PAL – Programmable Array Logic
programmable AND logic array or AND plane,
and fixed OR plane
 PLA – Programmable Logic Array
 programmable AND plane
followed by programmable OR plane
 CPLD
 FPGA
• Depending on how the PLD is programmed
 erasable PLD (EPLD)
 mask-programmed PLD
FPGA
Comparison of VLSI Implementations
Cost vs Volume
Comparison of VLSI Implementations
VLSI Design Tools
• By Chip vendor
• By third party
• Cadence
• Mentor Graphics
• Synopsis
• Microwind
Coverage of my lectures….
• ASIC
• Full Custom
• CMOS Design
• Simulation
• Layout
• SemiCustom
• Verification
• Gate Array
• Std. Cell
• DFT
• STA
• FP-Floor Plan
• CTC – Clock Tree
Synthesis
• FPGA
• HDL Entry
• Place and Route
THANK YOU!
1/24/2019

More Related Content

What's hot (20)

Vlsi design
Vlsi designVlsi design
Vlsi design
 
FPGA
FPGAFPGA
FPGA
 
Advanced Low Power Techniques in Chip Design
Advanced Low Power Techniques in Chip DesignAdvanced Low Power Techniques in Chip Design
Advanced Low Power Techniques in Chip Design
 
Vlsi design main ppt 1
Vlsi design main ppt 1Vlsi design main ppt 1
Vlsi design main ppt 1
 
Low Power Techniques
Low Power TechniquesLow Power Techniques
Low Power Techniques
 
System on Chip (SoC)
System on Chip (SoC)System on Chip (SoC)
System on Chip (SoC)
 
Sta by usha_mehta
Sta by usha_mehtaSta by usha_mehta
Sta by usha_mehta
 
ASIC design Flow (Digital Design)
ASIC design Flow (Digital Design)ASIC design Flow (Digital Design)
ASIC design Flow (Digital Design)
 
Asic design
Asic designAsic design
Asic design
 
Flip Chip technology
Flip Chip technologyFlip Chip technology
Flip Chip technology
 
System On Chip (SOC)
System On Chip (SOC)System On Chip (SOC)
System On Chip (SOC)
 
IC Technology
IC Technology IC Technology
IC Technology
 
Vlsi design and fabrication ppt
Vlsi design and fabrication  pptVlsi design and fabrication  ppt
Vlsi design and fabrication ppt
 
Vlsi Synthesis
Vlsi SynthesisVlsi Synthesis
Vlsi Synthesis
 
Power Gating
Power GatingPower Gating
Power Gating
 
System partitioning in VLSI and its considerations
System partitioning in VLSI and its considerationsSystem partitioning in VLSI and its considerations
System partitioning in VLSI and its considerations
 
ASIC VS FPGA.ppt
ASIC VS FPGA.pptASIC VS FPGA.ppt
ASIC VS FPGA.ppt
 
ASIC Design Flow | Physical Design | VLSI
ASIC Design Flow | Physical Design | VLSI ASIC Design Flow | Physical Design | VLSI
ASIC Design Flow | Physical Design | VLSI
 
LOW POWER DESIGN VLSI
LOW POWER DESIGN VLSILOW POWER DESIGN VLSI
LOW POWER DESIGN VLSI
 
Low Power VLSI Design
Low Power VLSI DesignLow Power VLSI Design
Low Power VLSI Design
 

Similar to Digital VLSI Design : Introduction

Fpga asic technologies_flow
Fpga asic technologies_flowFpga asic technologies_flow
Fpga asic technologies_flowravi4all
 
Implementation strategies for digital ics
Implementation strategies for digital icsImplementation strategies for digital ics
Implementation strategies for digital icsaroosa khan
 
SISTec Microelectronics VLSI design
SISTec Microelectronics VLSI designSISTec Microelectronics VLSI design
SISTec Microelectronics VLSI designDr. Ravi Mishra
 
VLSI unit 1 Technology - S.ppt
VLSI unit 1 Technology - S.pptVLSI unit 1 Technology - S.ppt
VLSI unit 1 Technology - S.pptindrajeetPatel22
 
lecture25_fpga-conclude.ppt
lecture25_fpga-conclude.pptlecture25_fpga-conclude.ppt
lecture25_fpga-conclude.pptSourav Roy
 
VLSI UNIT-1.1.pdf.ppt
VLSI UNIT-1.1.pdf.pptVLSI UNIT-1.1.pdf.ppt
VLSI UNIT-1.1.pdf.pptrajukolluri
 
2_DVD_ASIC_Design_FLow.pdf
2_DVD_ASIC_Design_FLow.pdf2_DVD_ASIC_Design_FLow.pdf
2_DVD_ASIC_Design_FLow.pdfUsha Mehta
 
Lecture20 asic back_end_design
Lecture20 asic back_end_designLecture20 asic back_end_design
Lecture20 asic back_end_designHung Nguyen
 
Making of an Application Specific Integrated Circuit
Making of an Application Specific Integrated CircuitMaking of an Application Specific Integrated Circuit
Making of an Application Specific Integrated CircuitSWINDONSilicon
 
Performance and Flexibility for Mmultiple-Processor SoC Design
Performance and Flexibility for Mmultiple-Processor SoC DesignPerformance and Flexibility for Mmultiple-Processor SoC Design
Performance and Flexibility for Mmultiple-Processor SoC DesignYalagoud Patil
 
Syste O CHip Concepts for Students.ppt
Syste O CHip Concepts for Students.pptSyste O CHip Concepts for Students.ppt
Syste O CHip Concepts for Students.pptmonzhalabs
 
Digital System Design-Introductio to ASIC
Digital System Design-Introductio to ASICDigital System Design-Introductio to ASIC
Digital System Design-Introductio to ASICIndira Priyadarshini
 
System on Chip Design and Modelling Dr. David J Greaves
System on Chip Design and Modelling   Dr. David J GreavesSystem on Chip Design and Modelling   Dr. David J Greaves
System on Chip Design and Modelling Dr. David J GreavesSatya Harish
 
High speed-pcb-board-design-and-analysiscadence-130218085524-phpapp01
High speed-pcb-board-design-and-analysiscadence-130218085524-phpapp01High speed-pcb-board-design-and-analysiscadence-130218085524-phpapp01
High speed-pcb-board-design-and-analysiscadence-130218085524-phpapp01khalid noman husainy
 
Design & Simulation With Verilog
Design & Simulation With Verilog Design & Simulation With Verilog
Design & Simulation With Verilog Semi Design
 
VLSI Design Methodologies
VLSI Design MethodologiesVLSI Design Methodologies
VLSI Design MethodologiesKeshav
 
Trends and challenges in IP based SOC design
Trends and challenges in IP based SOC designTrends and challenges in IP based SOC design
Trends and challenges in IP based SOC designAishwaryaRavishankar8
 

Similar to Digital VLSI Design : Introduction (20)

Fpga asic technologies_flow
Fpga asic technologies_flowFpga asic technologies_flow
Fpga asic technologies_flow
 
Implementation strategies for digital ics
Implementation strategies for digital icsImplementation strategies for digital ics
Implementation strategies for digital ics
 
SISTec Microelectronics VLSI design
SISTec Microelectronics VLSI designSISTec Microelectronics VLSI design
SISTec Microelectronics VLSI design
 
VLSI unit 1 Technology - S.ppt
VLSI unit 1 Technology - S.pptVLSI unit 1 Technology - S.ppt
VLSI unit 1 Technology - S.ppt
 
lecture25_fpga-conclude.ppt
lecture25_fpga-conclude.pptlecture25_fpga-conclude.ppt
lecture25_fpga-conclude.ppt
 
VLSI UNIT-1.1.pdf.ppt
VLSI UNIT-1.1.pdf.pptVLSI UNIT-1.1.pdf.ppt
VLSI UNIT-1.1.pdf.ppt
 
2_DVD_ASIC_Design_FLow.pdf
2_DVD_ASIC_Design_FLow.pdf2_DVD_ASIC_Design_FLow.pdf
2_DVD_ASIC_Design_FLow.pdf
 
Lecture20 asic back_end_design
Lecture20 asic back_end_designLecture20 asic back_end_design
Lecture20 asic back_end_design
 
Making of an Application Specific Integrated Circuit
Making of an Application Specific Integrated CircuitMaking of an Application Specific Integrated Circuit
Making of an Application Specific Integrated Circuit
 
Node architecture
Node architectureNode architecture
Node architecture
 
VLSI Design- Guru.ppt
VLSI Design- Guru.pptVLSI Design- Guru.ppt
VLSI Design- Guru.ppt
 
Performance and Flexibility for Mmultiple-Processor SoC Design
Performance and Flexibility for Mmultiple-Processor SoC DesignPerformance and Flexibility for Mmultiple-Processor SoC Design
Performance and Flexibility for Mmultiple-Processor SoC Design
 
Syste O CHip Concepts for Students.ppt
Syste O CHip Concepts for Students.pptSyste O CHip Concepts for Students.ppt
Syste O CHip Concepts for Students.ppt
 
Digital System Design-Introductio to ASIC
Digital System Design-Introductio to ASICDigital System Design-Introductio to ASIC
Digital System Design-Introductio to ASIC
 
System on Chip Design and Modelling Dr. David J Greaves
System on Chip Design and Modelling   Dr. David J GreavesSystem on Chip Design and Modelling   Dr. David J Greaves
System on Chip Design and Modelling Dr. David J Greaves
 
Chapter1.slides
Chapter1.slidesChapter1.slides
Chapter1.slides
 
High speed-pcb-board-design-and-analysiscadence-130218085524-phpapp01
High speed-pcb-board-design-and-analysiscadence-130218085524-phpapp01High speed-pcb-board-design-and-analysiscadence-130218085524-phpapp01
High speed-pcb-board-design-and-analysiscadence-130218085524-phpapp01
 
Design & Simulation With Verilog
Design & Simulation With Verilog Design & Simulation With Verilog
Design & Simulation With Verilog
 
VLSI Design Methodologies
VLSI Design MethodologiesVLSI Design Methodologies
VLSI Design Methodologies
 
Trends and challenges in IP based SOC design
Trends and challenges in IP based SOC designTrends and challenges in IP based SOC design
Trends and challenges in IP based SOC design
 

More from Usha Mehta

Basic Design Flow for Field Programmable Gate Arrays
Basic Design Flow for Field Programmable Gate ArraysBasic Design Flow for Field Programmable Gate Arrays
Basic Design Flow for Field Programmable Gate ArraysUsha Mehta
 
Field Programmable Gate Arrays : Architecture
Field Programmable Gate Arrays : ArchitectureField Programmable Gate Arrays : Architecture
Field Programmable Gate Arrays : ArchitectureUsha Mehta
 
Programmable Logic Devices : SPLD and CPLD
Programmable Logic Devices : SPLD and CPLDProgrammable Logic Devices : SPLD and CPLD
Programmable Logic Devices : SPLD and CPLDUsha Mehta
 
Programmable Switches for Programmable Logic Devices
Programmable Switches for Programmable Logic DevicesProgrammable Switches for Programmable Logic Devices
Programmable Switches for Programmable Logic DevicesUsha Mehta
 
3_DVD_IC_Fabrication_Flow_designer_perspective.pdf
3_DVD_IC_Fabrication_Flow_designer_perspective.pdf3_DVD_IC_Fabrication_Flow_designer_perspective.pdf
3_DVD_IC_Fabrication_Flow_designer_perspective.pdfUsha Mehta
 
7_DVD_Combinational_MOS_Logic_Circuits.pdf
7_DVD_Combinational_MOS_Logic_Circuits.pdf7_DVD_Combinational_MOS_Logic_Circuits.pdf
7_DVD_Combinational_MOS_Logic_Circuits.pdfUsha Mehta
 
5_DVD_VLSI Technology Trends.pdf
5_DVD_VLSI Technology Trends.pdf5_DVD_VLSI Technology Trends.pdf
5_DVD_VLSI Technology Trends.pdfUsha Mehta
 
8_DVD_Sequential_MOS_logic_circuits.pdf
8_DVD_Sequential_MOS_logic_circuits.pdf8_DVD_Sequential_MOS_logic_circuits.pdf
8_DVD_Sequential_MOS_logic_circuits.pdfUsha Mehta
 
9_DVD_Dynamic_logic_circuits.pdf
9_DVD_Dynamic_logic_circuits.pdf9_DVD_Dynamic_logic_circuits.pdf
9_DVD_Dynamic_logic_circuits.pdfUsha Mehta
 
13_DVD_Latch-up_prevention.pdf
13_DVD_Latch-up_prevention.pdf13_DVD_Latch-up_prevention.pdf
13_DVD_Latch-up_prevention.pdfUsha Mehta
 
Static_Timing_Analysis_in_detail.pdf
Static_Timing_Analysis_in_detail.pdfStatic_Timing_Analysis_in_detail.pdf
Static_Timing_Analysis_in_detail.pdfUsha Mehta
 
14 static timing_analysis_5_clock_domain_crossing
14 static timing_analysis_5_clock_domain_crossing14 static timing_analysis_5_clock_domain_crossing
14 static timing_analysis_5_clock_domain_crossingUsha Mehta
 
9 semiconductor memory
9 semiconductor memory9 semiconductor memory
9 semiconductor memoryUsha Mehta
 
13 static timing_analysis_4_set_up_and_hold_time_violation_remedy
13 static timing_analysis_4_set_up_and_hold_time_violation_remedy13 static timing_analysis_4_set_up_and_hold_time_violation_remedy
13 static timing_analysis_4_set_up_and_hold_time_violation_remedyUsha Mehta
 
12 static timing_analysis_3_clocked_design
12 static timing_analysis_3_clocked_design12 static timing_analysis_3_clocked_design
12 static timing_analysis_3_clocked_designUsha Mehta
 
11 static timing_analysis_2_combinational_design
11 static timing_analysis_2_combinational_design11 static timing_analysis_2_combinational_design
11 static timing_analysis_2_combinational_designUsha Mehta
 
10 static timing_analysis_1_concept_of_timing_analysis
10 static timing_analysis_1_concept_of_timing_analysis10 static timing_analysis_1_concept_of_timing_analysis
10 static timing_analysis_1_concept_of_timing_analysisUsha Mehta
 
6 verification tools
6 verification tools6 verification tools
6 verification toolsUsha Mehta
 
5 verification methods
5 verification methods5 verification methods
5 verification methodsUsha Mehta
 
4 verification flow_planning
4 verification flow_planning4 verification flow_planning
4 verification flow_planningUsha Mehta
 

More from Usha Mehta (20)

Basic Design Flow for Field Programmable Gate Arrays
Basic Design Flow for Field Programmable Gate ArraysBasic Design Flow for Field Programmable Gate Arrays
Basic Design Flow for Field Programmable Gate Arrays
 
Field Programmable Gate Arrays : Architecture
Field Programmable Gate Arrays : ArchitectureField Programmable Gate Arrays : Architecture
Field Programmable Gate Arrays : Architecture
 
Programmable Logic Devices : SPLD and CPLD
Programmable Logic Devices : SPLD and CPLDProgrammable Logic Devices : SPLD and CPLD
Programmable Logic Devices : SPLD and CPLD
 
Programmable Switches for Programmable Logic Devices
Programmable Switches for Programmable Logic DevicesProgrammable Switches for Programmable Logic Devices
Programmable Switches for Programmable Logic Devices
 
3_DVD_IC_Fabrication_Flow_designer_perspective.pdf
3_DVD_IC_Fabrication_Flow_designer_perspective.pdf3_DVD_IC_Fabrication_Flow_designer_perspective.pdf
3_DVD_IC_Fabrication_Flow_designer_perspective.pdf
 
7_DVD_Combinational_MOS_Logic_Circuits.pdf
7_DVD_Combinational_MOS_Logic_Circuits.pdf7_DVD_Combinational_MOS_Logic_Circuits.pdf
7_DVD_Combinational_MOS_Logic_Circuits.pdf
 
5_DVD_VLSI Technology Trends.pdf
5_DVD_VLSI Technology Trends.pdf5_DVD_VLSI Technology Trends.pdf
5_DVD_VLSI Technology Trends.pdf
 
8_DVD_Sequential_MOS_logic_circuits.pdf
8_DVD_Sequential_MOS_logic_circuits.pdf8_DVD_Sequential_MOS_logic_circuits.pdf
8_DVD_Sequential_MOS_logic_circuits.pdf
 
9_DVD_Dynamic_logic_circuits.pdf
9_DVD_Dynamic_logic_circuits.pdf9_DVD_Dynamic_logic_circuits.pdf
9_DVD_Dynamic_logic_circuits.pdf
 
13_DVD_Latch-up_prevention.pdf
13_DVD_Latch-up_prevention.pdf13_DVD_Latch-up_prevention.pdf
13_DVD_Latch-up_prevention.pdf
 
Static_Timing_Analysis_in_detail.pdf
Static_Timing_Analysis_in_detail.pdfStatic_Timing_Analysis_in_detail.pdf
Static_Timing_Analysis_in_detail.pdf
 
14 static timing_analysis_5_clock_domain_crossing
14 static timing_analysis_5_clock_domain_crossing14 static timing_analysis_5_clock_domain_crossing
14 static timing_analysis_5_clock_domain_crossing
 
9 semiconductor memory
9 semiconductor memory9 semiconductor memory
9 semiconductor memory
 
13 static timing_analysis_4_set_up_and_hold_time_violation_remedy
13 static timing_analysis_4_set_up_and_hold_time_violation_remedy13 static timing_analysis_4_set_up_and_hold_time_violation_remedy
13 static timing_analysis_4_set_up_and_hold_time_violation_remedy
 
12 static timing_analysis_3_clocked_design
12 static timing_analysis_3_clocked_design12 static timing_analysis_3_clocked_design
12 static timing_analysis_3_clocked_design
 
11 static timing_analysis_2_combinational_design
11 static timing_analysis_2_combinational_design11 static timing_analysis_2_combinational_design
11 static timing_analysis_2_combinational_design
 
10 static timing_analysis_1_concept_of_timing_analysis
10 static timing_analysis_1_concept_of_timing_analysis10 static timing_analysis_1_concept_of_timing_analysis
10 static timing_analysis_1_concept_of_timing_analysis
 
6 verification tools
6 verification tools6 verification tools
6 verification tools
 
5 verification methods
5 verification methods5 verification methods
5 verification methods
 
4 verification flow_planning
4 verification flow_planning4 verification flow_planning
4 verification flow_planning
 

Recently uploaded

Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxDecoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxJoão Esperancinha
 
Internship report on mechanical engineering
Internship report on mechanical engineeringInternship report on mechanical engineering
Internship report on mechanical engineeringmalavadedarshan25
 
main PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfidmain PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfidNikhilNagaraju
 
Current Transformer Drawing and GTP for MSETCL
Current Transformer Drawing and GTP for MSETCLCurrent Transformer Drawing and GTP for MSETCL
Current Transformer Drawing and GTP for MSETCLDeelipZope
 
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130Suhani Kapoor
 
Past, Present and Future of Generative AI
Past, Present and Future of Generative AIPast, Present and Future of Generative AI
Past, Present and Future of Generative AIabhishek36461
 
CCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdf
CCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdfCCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdf
CCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdfAsst.prof M.Gokilavani
 
Introduction to Microprocesso programming and interfacing.pptx
Introduction to Microprocesso programming and interfacing.pptxIntroduction to Microprocesso programming and interfacing.pptx
Introduction to Microprocesso programming and interfacing.pptxvipinkmenon1
 
Electronically Controlled suspensions system .pdf
Electronically Controlled suspensions system .pdfElectronically Controlled suspensions system .pdf
Electronically Controlled suspensions system .pdfme23b1001
 
chaitra-1.pptx fake news detection using machine learning
chaitra-1.pptx  fake news detection using machine learningchaitra-1.pptx  fake news detection using machine learning
chaitra-1.pptx fake news detection using machine learningmisbanausheenparvam
 
GDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSCAESB
 
What are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxWhat are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxwendy cai
 
SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )Tsuyoshi Horigome
 
Concrete Mix Design - IS 10262-2019 - .pptx
Concrete Mix Design - IS 10262-2019 - .pptxConcrete Mix Design - IS 10262-2019 - .pptx
Concrete Mix Design - IS 10262-2019 - .pptxKartikeyaDwivedi3
 
Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...VICTOR MAESTRE RAMIREZ
 
power system scada applications and uses
power system scada applications and usespower system scada applications and uses
power system scada applications and usesDevarapalliHaritha
 
Application of Residue Theorem to evaluate real integrations.pptx
Application of Residue Theorem to evaluate real integrations.pptxApplication of Residue Theorem to evaluate real integrations.pptx
Application of Residue Theorem to evaluate real integrations.pptx959SahilShah
 

Recently uploaded (20)

Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxDecoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
 
Design and analysis of solar grass cutter.pdf
Design and analysis of solar grass cutter.pdfDesign and analysis of solar grass cutter.pdf
Design and analysis of solar grass cutter.pdf
 
young call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Service
young call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Serviceyoung call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Service
young call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Service
 
Internship report on mechanical engineering
Internship report on mechanical engineeringInternship report on mechanical engineering
Internship report on mechanical engineering
 
main PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfidmain PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfid
 
Current Transformer Drawing and GTP for MSETCL
Current Transformer Drawing and GTP for MSETCLCurrent Transformer Drawing and GTP for MSETCL
Current Transformer Drawing and GTP for MSETCL
 
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
 
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
 
Past, Present and Future of Generative AI
Past, Present and Future of Generative AIPast, Present and Future of Generative AI
Past, Present and Future of Generative AI
 
CCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdf
CCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdfCCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdf
CCS355 Neural Network & Deep Learning Unit II Notes with Question bank .pdf
 
Introduction to Microprocesso programming and interfacing.pptx
Introduction to Microprocesso programming and interfacing.pptxIntroduction to Microprocesso programming and interfacing.pptx
Introduction to Microprocesso programming and interfacing.pptx
 
Electronically Controlled suspensions system .pdf
Electronically Controlled suspensions system .pdfElectronically Controlled suspensions system .pdf
Electronically Controlled suspensions system .pdf
 
chaitra-1.pptx fake news detection using machine learning
chaitra-1.pptx  fake news detection using machine learningchaitra-1.pptx  fake news detection using machine learning
chaitra-1.pptx fake news detection using machine learning
 
GDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentation
 
What are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxWhat are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptx
 
SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )
 
Concrete Mix Design - IS 10262-2019 - .pptx
Concrete Mix Design - IS 10262-2019 - .pptxConcrete Mix Design - IS 10262-2019 - .pptx
Concrete Mix Design - IS 10262-2019 - .pptx
 
Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...
 
power system scada applications and uses
power system scada applications and usespower system scada applications and uses
power system scada applications and uses
 
Application of Residue Theorem to evaluate real integrations.pptx
Application of Residue Theorem to evaluate real integrations.pptxApplication of Residue Theorem to evaluate real integrations.pptx
Application of Residue Theorem to evaluate real integrations.pptx
 

Digital VLSI Design : Introduction

  • 1. Digital VLSI Design Flow Dr Usha Mehta usha.mehta@nirmauni.ac.in 1/24/2019
  • 2. Acknowledgement This presentation has been summarized from various books, papers, websites and presentations related to the topic all over the world. I couldn’t remember where these large pull of hints and work come from. However, I’d like to thank all professors and scientists who created such a good work on this emerging field. Without those efforts in this very emerging technology, these notes and slides can’t be finished.
  • 3. • Suppose you have an idea/requirement/application…. • And you want to develop a Electronic System that works for it..
  • 4. Typical Considerations… • Cost Constraints • Design Time • Component Supply • Prior Experience • Training • Contact Arrangement ( User Constraints) • Size/Volume/Weight Constraints • Power Source • Power Consumption Constraint • Rapid prototyping • In-service updatebility/product upgrade
  • 5. The system will include • Mechanical Parts Package etc • Electronics Parts PCB IC Components Wires Connectors
  • 6. Integrated Circuits • Based on Application Linear (Analog) – Digital - Mixed • Based on Fabrication Technology Monolithic - Hybrid • Based on Device BJT-CMOS • Based on Device Count SSI-MSI-LSI-VLSI-ULSI
  • 7. When you are system developer…. You may use • Standard Product ICs Off – the-self electronic component that you purchase and use • Application Specific Integrated Circuits (ASICs) Specifically designed by you for your application requirements
  • 9. What the Digital ICs do? • Fixed Functionality • Processor • Memory • PLD
  • 10. How the Digital ICs do?
  • 11. Integrated Circuits • Standard Products-ASIC • Fixed Logic-Programmable • Analog-Digital-Mixed Mode • Memory-Processor • CPLD-FPGA-Processor • Microprocessor-Microcontroller-Digital Signal Processor • ASIC-SoC-NoC • ……
  • 12.
  • 13. ASIC Design Methodologies • Full Custom Consider circuit performance vs design time Design + Fabrication + testing time Technology Window • Semi Custom Updateability Divide and conquer….. Use readily available…. • Programmable Reprogrammable/erasable Field Programmable Very fast…
  • 14. Design Hierarchy Top Down Design • The initial work is quite abstract and theoretical and there is no direct connections to silicon until many steps have been completed. • Acceptable in modern digital system design • Co-designing with H/W-S/W is critical Bottom-Up Design • Starts at the silicon or circuit level and builds primitive units such as logic gates, adders and registers as first step
  • 16. specification behavior register- transfer logic circuit layout English Executable program Sequential machines Logic gates transistors rectangles Throughput, design time Function units, clock cycles Literals, logic depth nanoseconds microns Design Abstractions specification behavior register- transfer logic circuit layout specification behavior register- transfer logic
  • 17. Y Chart by D Gajski
  • 18.
  • 19. Specification • Specification of the size, speed, power and functionality of the VLSI system. • Decisions on the architecture, e.g., RISC/CISC, # of ALU’s, pipeline structure, cache size, etc. Such decisions can provide an accurate estimation of the system performance, die size, power consumption, etc. Architectural Design
  • 20. Functional/Behavioural Design • Identify main functional units and their interconnections. No details of implementation. • Functional design is generally done with HDL
  • 21. Register Transfer Level + + 0010 0001 0100 0011 • Components, data types • Design the logic, e.g., Boolean expressions, control flow, word width, register allocation, etc. • RTL is expressed in a HDL mostly synthesized from behavioral description
  • 22. Logic Level • Discrete Level, Discrete Time • Design the circuit including gates, transistors, interconnections, etc. The outcome is called a netlist. • Homework ISCAS Gate level Netlist
  • 23. Circuit level • Continuous Voltage, Continuous time
  • 24. Layout • Convert the netlist into a geometric representation. The outcome is called a layout.
  • 26. Few more words… • Circuit Partitioning – Partition a large circuit into sub-circuits (called blocks). Factors like #blocks, block sizes, interconnection between blocks, etc., are considered. • Floorplanning – Set up a plan for a good layout. Place the modules (modules can be blocks, functional units, etc.) at an early stage when details like shape, area, I/O pin positions of the modules, …, are not yet fixed. • Placement – Exact placement of the modules (modules can be gates, standard cells, etc.) when details of the module design are known. The goal is to minimize the delay, total area and interconnect cost.
  • 27. • Routing – Complete the interconnections between modules. Factors like critical path, clock skew, wire spacing, etc., are considered. Include global routing and detailed routing. • Compaction – Compress the layout from all directions to minimize the total chip area. • Verification – Check the correctness of the layout. Include DRC (Design Rule Checking), circuit extraction (generate a circuit from the layout to compare with the original netlist), performance verification (extract geometric information to compute resistance, capacitance, delay, etc.)
  • 28. Cont…. • Logic Synthesizer Translation from RTL specification to netlist Adequate for the design that do not have critical performance parameter Provides room to make design improvement Understanding of device architecture is necessary • Gate Level Simulation To ensure correctness of synthesis translation Vendor supplied parameters are used to simulate the actual target device parameters
  • 29. Cont… • Extraction  Actual resistance and capacitance figures modelled for interconnections are extracted to simulate timing performance • Post Layout Simulation  Functionality taking care of timings  Time extraction imported  Both gate and interconnection delays are considered • Back Annotation  To update the initial circuit data with information that was obtained later in the design cycle  Passing the information related to the extra load that may occur in practice
  • 30. Cont… • Extended Testing For ASIC, GA, SoG. Not for FPGA • Device Programming Via JTAG port For FPGA
  • 31. Hierarchical Des • Regularity • Modularity • Locality • Does it mean that SRAM/DRAM is much ahead compared to microprocessor?? Memory wall……
  • 33. Why the processors are faster?? • A question from computer architecture….. • https://slideplayer.com/slide/7567250/
  • 34. ASIC Design Styles • Full Custom IC Design • Sea-of-Gates (Mask Programmable) • Gate Arrays (Mask Programmable) • Embedded Gate Arrays (Mask Programmable) • Standard Cell Based IC Design • PLD (PAL-PLA-CPLD) • FPGA • Platform/Structured ASIC • Software Programmable Devices • Commercial Off-the-Cell (COTS) Devices
  • 35. Full Custom ASIC Design • The Design flow ( we already learnt!) Full-custom ICs are the most expensive to manufacture and to design Manufacturing lead time (not including design time!) is typically 8 weeks • When does it make sense? there are no suitable existing cell libraries available existing logic cells are not fast enough logic cells are not small enough logic cells consume too much power ASIC is so specialized that some circuits must be custom designed • Trends: fewer and fewer full-custom ICs are being designed (excluding mixed analog/digital ASICs)
  • 36. Mask Programmable Gate Arrays • Mapping of designs on to the gates in the array • Gates are designed, characterized and prefabricated • Customized placement and interconnect • Fabrication of only top-most interconnects • Lead time is few days to two weeks • Channelless Gate Array • Channelled Gate Array • Structured Gate Array
  • 38. SoG • Channelless gate array (sea-of-gates or SOG)  there are no predefined areas set aside for routing between cells  we customize the contact layer that defines the connections between metal1 and transistors  when use area of transistor for routing, do not make any contacts to the device underneath • Characteristics  only some (the top few) mask layers are customized – the interconnect  manufacturing lead time is between 2 days and 2 weeks
  • 40. Channelled Gate Arrays…. • Channelled gate array we leave space between the rows of transistors for wiring • Characteristics only interconnect is customized the interconnect uses predefined spaces between rows manufacturing lead time is between 2 days and 2 weeks
  • 42. Embedded Gate Array  combines features of CBIC and MGA  motivation: MGA has only fixed gate-array base cell; difficult and inefficient implementation of memory  we set aside some IC area and dedicate it to a specific function (contain different cells, more suitable for building memory cells, for example, or complete block, such as a microcontroller) • Characteristics  only some (the top few) mask layers are customized – the interconnect  custom blocks can be embedded  manufacturing lead time is between 2 days and 2 weeks  problem: embedded function is fixed
  • 44. Standard Cell Based Design • Cell-Based ASIC (CBIC) uses predesigned cells (AND, OR gates, multiplexers, flip-flops, ...) • Standard-cell areas are built of rows of standard cells • Standard-cell areas can be used in combination with larger predesigned cells (microcontrollers, or even microprocessors), known as megacells
  • 45. Standard Cell Based Design Cell Based ASIC (CBIC)
  • 46. Standard Cell Design • If number of metal layers is limited, feedthrough cells must be used to route across multiple cell rows
  • 48. Platform ASICs • A pre-manufactured device, used to implement a custom system on a chip (SoC) • consists of a group of slices offering different gate ranges, memory, I/O, PLLs and other intellectual property such as high speed Serializer/Deserializers (SerDes) • A slice may be customized through few layers of metal for a user application. • Since only a few layers of metal are customized for any given design, NRE costs are significantly lower than a cell-based ASIC where a full mask set is needed.
  • 49. Platform ASIC : example
  • 51. Programmable Logic Devices • PLDs  standard ICs, available in standard configurations  sold in high volume to many different customers  PLDs may be configured or programmed to create a part customized to specific application • Characteristics  no customized mask layers or logic cells  fast design turnaround  a single large block of programmable interconnect  a matrix of logic macrocells that usually consists of programmable array logic followed by a flip-flop or latch
  • 52. PLDs….. • Types of PLDs  PROM: uses metal fuse that can be blown permanently)  EPROM: used programmable MOS transistors whose characteristics are altering by applying a high voltage  PAL – Programmable Array Logic programmable AND logic array or AND plane, and fixed OR plane  PLA – Programmable Logic Array  programmable AND plane followed by programmable OR plane  CPLD  FPGA • Depending on how the PLD is programmed  erasable PLD (EPLD)  mask-programmed PLD
  • 53. FPGA
  • 54. Comparison of VLSI Implementations Cost vs Volume
  • 55. Comparison of VLSI Implementations
  • 56.
  • 57. VLSI Design Tools • By Chip vendor • By third party • Cadence • Mentor Graphics • Synopsis • Microwind
  • 58.
  • 59. Coverage of my lectures…. • ASIC • Full Custom • CMOS Design • Simulation • Layout • SemiCustom • Verification • Gate Array • Std. Cell • DFT • STA • FP-Floor Plan • CTC – Clock Tree Synthesis • FPGA • HDL Entry • Place and Route