SlideShare a Scribd company logo
PD Flow I – Floorplan
by Som | May 19, 2017 | Weekly-Training-Sessions | 18 comments
Blog Views: 3,864
PHYSICAL DESIGN – I (Import Design, Floorplan, Placement)
Physical design is process of transforming netlist into layout which is manufacture-able [GDS].
Physical design process is often referred as PnR (Place and Route) / APR (Automatic Place &
Route). Main steps in physical design are placement of all logical cells, clock tree synthesis &
routing. During this process of physical design timing, power, design & technology constraints have
to be met. Further design might require being optimized w.r.t area, power and performance.
General Physical Design Flow is shown below,
1. IMPORT DESIGN / NETLISTIN
Import design is the first step in Physical Design. In this stage all required inputs & required
references are read into the tool. And also basic checks are done (design, technology consistency).
Inputs required
1. Gate level netlist
2. Logical (Timing) & Physical views of standard cells & all other IPs used in the design
3. Timing constraints (SDC)
4. Power Intent (UPF / CPF)
5. FP DEF & Scan DEF
6. Technology file
7. RC Co-efficient files
How to qualify Import Design?
1. Check errors & warning while reading netlist. Understand all warnings
2. Check for black boxes
3. Check errors & warning while reading timing constraints. Understand all warnings
4. Check errors & warning while reading UPF/CPF. Understand all warnings
5. Timing QoR (Minimal violations with fixable WNS & TNS)
6. Check MV Design (Equivalent to LP checks). Fix all errors & understand all warning
7. Check for assign & tri statements (Usually its checked & fixed after Synthesis)
Timing analyses after Import Design
It is always a good practice to do quick timing analyses after import design. Even though post
synthesis timing analyses is done in timing tool (PT, Tempus/ETS), it’s better to check post
synthesis timing QoR in PnR tools also (ICC, Innovus, Olympus) before actual implementation
starts.
Why it is required?
ICC/Innovus optimizes critical timing paths (violating paths) which are seen by it. There can be
chances that PnR tool is showing a complete different timing QoR (huge violations) compared to
Post Syn QoR seen in PT/Tempus. It can be because of correlation issue / constraints issue. We
can avoid unnecessary optimization; timing & design closure will be easy if we correlate Import
Design timing QoR with Post Syn timing QoR.
2. FLOORPLAN
Floorplan is one the critical & important step in Physical design. Quality of your Chip / Design
implementation depends on how good is the Floorplan. A good floorplan can be make
implementation process (place, cts, route & timing closure) cake walk. On similar lines a bad
floorplan can create all kind issues in the design (congestion, timing, noise, ir, routing issues). A bad
floorplan will blow up the area, power & affects reliability, life of the IC and also it can increase
overall IC cost (more effort to closure, more LVTs/ULVTs)
Before staring of Floorplan, it is better to have basic design understanding, data flow of the design,
integration guidelines of any special analog hard IPs in the design. And for block/partition level
designs understanding the placement & IO interactions of the block in Full chip will help in coming up
with good floorplan.
What is required to come with a good floorplan?
1. Basic design understating
2. Data flow diagram (DFA / Analyze logic connectivity in Synopsys ICC)
3. Integration guidelines
4. IO / Pin placement requirements
5. Special requirements from Full Chip floorplan
6. MV / LP requirements. Understanding of PDs & Vas
Different types of partitions / blocks
1. Memory intensive digital cores, graphic cores
2. Partitions / Blocks with analog Hard IPs
3. DDR & other High Speed Interface partitions / blocks / sub-systems
4. Channel partitions
Partitions with different critical tasks
1. Timing critical
2. Routing critical / Congestion
3. Blocks with complex Clock structure
Types of floorplan techniques used in Full Chip plan
1. Abutted (All inter block pin connections are done through FTs)
2. Non abutted (Channel based. All inter block pin connections are routed in channels)
3. Mix of both – partially abutted with some channels
FLOORPLAN STEPS
1. Size & shape of the block (Usually provided by FC floorplan)
2. Voltage area creation (Power domains)
3. IO placement
4. Creating standard cell rows
5. Macro-placement
6. Adding routing & placement blockages (as required)
7. Adding power switches (Daisy chain)
8. Creating Power Mesh
9. Adding physical cells (Well taps, End Caps etc)
10. Placing & qualifying pushdown cells
11. Creating bounds / plan groups / density screens
Detailed discussion
1. Shape & size of the block / partition
In most of the case, block size & shape is decided by FC floorplan. Rectangle/Square shape is best
in terms of floorplan & further design closure. But in many case, floorplan can be of rectilinear shape
with many notches. It is always good practice to discuss with FC floorplan team for any scope to
improve block/partition level floorplan.
2. Voltage area creation
In multi-voltage & multi power domain designs, voltage areas are required to guide the tool to
understand different domains.
There are two methods to create voltage area;
1. Abutted voltage area (Cells are not allowed to place in default voltage area)
 As is no default domain area, voltage area feed-through (VA-FT) are required to cross over different
voltage areas.
2. Non-abutted voltage area (Cells are allowed to place in default voltage area)
3. IO / Pin placement
IOs / Pins are placed at the boundary of the block. Usually pin placement information is pushed
down from FC floorplan. But these locations can be changed based on block critical requirements.
Any change in pin location has to be discussed with FC floorplan team. Timing critical interfaces
need special attention, like next 2-3 levels of logic from IOs are pre-placed near the IOs). Source
synchronous interfaces requires delay balancing taking OCV into considerations (This will require
manual placement & scripting)
4. Row creation
Rows area created in the design using cell-site (unit / basic). Rows aid in systematic placement of
standard cells. And standard cell power routes done considering rows.
Rows can be cut, wherever cell placement is not allowed OR hard placement blockage can also be
used.
5. Macro placement
Step 1 – Understand Pins & Orientation requirements of Macros
Step 2 – Follow data flow / hierarchy to place the Macros. Make use of reference floorplan if
available
Step 3 – All the pins of the Macros should point towards the core logic
Step 4 – Channels b/w macros should be big enough to accommodate all routing reqs &
should get a minimum of one pair VDD & VSS power grids in the channel
Automatic Floorplan / Macro-placement
Most of the PnR tools provide automatic floorplan option. Automatic floorplan option creates its own
macro placement based on the effort & other options. But these options are not matured enough to
give optimum floorplan for all kind of designs. This option will be handy, when design has 100s of
Macros, but generated floorplan needs lot of modification for further optimizations.
How to qualify Macro – Placement
1. All macros should be placed at the boundary
2. Check the orientation & pin directions of all macros
3. Spacing b/w macros should be enough for routing & power grid
4. Macros should not block partition level pins
5. [Iterations] Less congestion & good timing QoR – These cannot be achieved in one shot, but need
few iterations [Thorough & deep analyses are the key things while iterating]
6. Adding placement & routing blockages
Buffer only blockages are added in channels b/w macros. Partial placement blockages can be added
b/w the channels blocking sequential cells (whose placement in channels can degrade CTS QoR).
Partial blockages are added in congestion prone areas/notches/corners
7. Adding power switches
Power switches are required to gate the power supply of gated domain when not required. Power
switches are MT-CMOS (multi-threshold) cells, which will have very high threshold voltage when
device is OFF & very low threshold voltage when device is on.
Power switches are inserted in power mesh & supply to all gated domain cells will be through power
switches. Hence a single / few switches are not enough. A strong network of power switches
connected in daisy chain fashion will be inserted in the design.
8. Adding special cells (Well Taps, EndCaps, Spare Cells, Metal ECO-able cells etc)
Well connection – Almost all standard cell libraries are tap-less (substrate connections are not
done @ cell level). So Well-taps cells are added in partition/chip level to tie the wells to VDD/VSS.
Tap-gate spacing has to be met while adding well-tap array.
EndCap Cells – These cells are inserted to take care of boundary DRC of Wells & Other layers. End
Cap Cells ensure proper terminations of rows, so that no DRC are created. This is a physical-only
cell.
How to qualify Floorplan?
1. Check PG connections (For macros & pre-placed cells only)
2. LP / MV checks on floorplan database
3. Check the power connections to all Macros, specially analog/special macros if any
4. All the macros should be placed at the boundary
5. There should not be any notches / thin channels. If unavoidable, proper blockages has to be added
6. Remove all unnecessary placement blockages & routing blockages (which might be put during floor-
plan & pre-placing)
7. Check power connection to power switches
8. Check power mesh in different voltage area voltage area
9. Check pin-layers & check layer directions (H-V-H)

More Related Content

What's hot

VLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyVLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool Terminalogy
Murali Rai
 
Chapter1.slides
Chapter1.slidesChapter1.slides
Chapter1.slides
Avinash Pillai
 
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation SystemSynopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Mostafa Khamis
 
Implementing Useful Clock Skew Using Skew Groups
Implementing Useful Clock Skew Using Skew GroupsImplementing Useful Clock Skew Using Skew Groups
Implementing Useful Clock Skew Using Skew Groups
M Mei
 
Placement.pdf
Placement.pdfPlacement.pdf
Placement.pdf
Ahmed Abdelazeem
 
Floorplanning.pdf
Floorplanning.pdfFloorplanning.pdf
Floorplanning.pdf
Ahmed Abdelazeem
 
STA.pdf
STA.pdfSTA.pdf
Physical design
Physical design Physical design
Physical design
Mantra VLSI
 
1. Introduction to PnR.pptx
1. Introduction to PnR.pptx1. Introduction to PnR.pptx
1. Introduction to PnR.pptx
Ahmed Abdelazeem
 
Timing closure document
Timing closure documentTiming closure document
Timing closure document
Alan Tran
 
ASIC_Design.pdf
ASIC_Design.pdfASIC_Design.pdf
ASIC_Design.pdf
Ahmed Abdelazeem
 
Inputs of physical design
Inputs of physical designInputs of physical design
Inputs of physical design
Kishore Sai Addanki
 
Formal Verification - Formality.pdf
Formal Verification - Formality.pdfFormal Verification - Formality.pdf
Formal Verification - Formality.pdf
Ahmed Abdelazeem
 
VLSI Physical Design Flow(http://www.vlsisystemdesign.com)
VLSI Physical Design Flow(http://www.vlsisystemdesign.com)VLSI Physical Design Flow(http://www.vlsisystemdesign.com)
VLSI Physical Design Flow(http://www.vlsisystemdesign.com)
VLSI SYSTEM Design
 
Floorplanning in physical design
Floorplanning in physical designFloorplanning in physical design
Floorplanning in physical design
Murali Rai
 
Understanding cts log_messages
Understanding cts log_messagesUnderstanding cts log_messages
Understanding cts log_messages
Mujahid Mohammed
 
PowerPlanning.pdf
PowerPlanning.pdfPowerPlanning.pdf
PowerPlanning.pdf
Ahmed Abdelazeem
 
Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)
shaik sharief
 
Crosstalk.pdf
Crosstalk.pdfCrosstalk.pdf
Crosstalk.pdf
Ahmed Abdelazeem
 
2Overview of Primetime.pptx
2Overview of Primetime.pptx2Overview of Primetime.pptx
2Overview of Primetime.pptx
ShivangPanara
 

What's hot (20)

VLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyVLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool Terminalogy
 
Chapter1.slides
Chapter1.slidesChapter1.slides
Chapter1.slides
 
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation SystemSynopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
 
Implementing Useful Clock Skew Using Skew Groups
Implementing Useful Clock Skew Using Skew GroupsImplementing Useful Clock Skew Using Skew Groups
Implementing Useful Clock Skew Using Skew Groups
 
Placement.pdf
Placement.pdfPlacement.pdf
Placement.pdf
 
Floorplanning.pdf
Floorplanning.pdfFloorplanning.pdf
Floorplanning.pdf
 
STA.pdf
STA.pdfSTA.pdf
STA.pdf
 
Physical design
Physical design Physical design
Physical design
 
1. Introduction to PnR.pptx
1. Introduction to PnR.pptx1. Introduction to PnR.pptx
1. Introduction to PnR.pptx
 
Timing closure document
Timing closure documentTiming closure document
Timing closure document
 
ASIC_Design.pdf
ASIC_Design.pdfASIC_Design.pdf
ASIC_Design.pdf
 
Inputs of physical design
Inputs of physical designInputs of physical design
Inputs of physical design
 
Formal Verification - Formality.pdf
Formal Verification - Formality.pdfFormal Verification - Formality.pdf
Formal Verification - Formality.pdf
 
VLSI Physical Design Flow(http://www.vlsisystemdesign.com)
VLSI Physical Design Flow(http://www.vlsisystemdesign.com)VLSI Physical Design Flow(http://www.vlsisystemdesign.com)
VLSI Physical Design Flow(http://www.vlsisystemdesign.com)
 
Floorplanning in physical design
Floorplanning in physical designFloorplanning in physical design
Floorplanning in physical design
 
Understanding cts log_messages
Understanding cts log_messagesUnderstanding cts log_messages
Understanding cts log_messages
 
PowerPlanning.pdf
PowerPlanning.pdfPowerPlanning.pdf
PowerPlanning.pdf
 
Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)
 
Crosstalk.pdf
Crosstalk.pdfCrosstalk.pdf
Crosstalk.pdf
 
2Overview of Primetime.pptx
2Overview of Primetime.pptx2Overview of Primetime.pptx
2Overview of Primetime.pptx
 

Similar to Pd flow i

Physical design
Physical design Physical design
Physical design
Manjunath hosmani
 
ZERO WIRE LOAD MODEL.pptx
ZERO WIRE LOAD MODEL.pptxZERO WIRE LOAD MODEL.pptx
ZERO WIRE LOAD MODEL.pptx
VishalYadav29718
 
Complete ASIC design flow - VLSI UNIVERSE
Complete ASIC design flow - VLSI UNIVERSEComplete ASIC design flow - VLSI UNIVERSE
Complete ASIC design flow - VLSI UNIVERSE
VLSIUNIVERSE
 
ASIC DESIGN OF MINI-STEREO DIGITAL AUDIO PROCESSOR UNDER SMIC 180NM TECHNOLOGY
ASIC DESIGN OF MINI-STEREO DIGITAL AUDIO PROCESSOR UNDER SMIC 180NM TECHNOLOGYASIC DESIGN OF MINI-STEREO DIGITAL AUDIO PROCESSOR UNDER SMIC 180NM TECHNOLOGY
ASIC DESIGN OF MINI-STEREO DIGITAL AUDIO PROCESSOR UNDER SMIC 180NM TECHNOLOGY
Ilango Jeyasubramanian
 
IRJET - Augmented Tangible Style using 8051 MCU
IRJET -  	  Augmented Tangible Style using 8051 MCUIRJET -  	  Augmented Tangible Style using 8051 MCU
IRJET - Augmented Tangible Style using 8051 MCU
IRJET Journal
 
Cisco Networking - WAN Implementation with SOHO ConfigurationYou.docx
Cisco Networking - WAN Implementation with SOHO ConfigurationYou.docxCisco Networking - WAN Implementation with SOHO ConfigurationYou.docx
Cisco Networking - WAN Implementation with SOHO ConfigurationYou.docx
brownliecarmella
 
Implementation strategies for digital ics
Implementation strategies for digital icsImplementation strategies for digital ics
Implementation strategies for digital ics
aroosa khan
 
Maquina estado
Maquina estadoMaquina estado
Maquina estado
Cesar Gil Arrieta
 
Topic 5 Digital Technique basic computer structure
Topic 5 Digital Technique basic computer structureTopic 5 Digital Technique basic computer structure
Topic 5 Digital Technique basic computer structure
Bai Haqi
 
Lecture20 asic back_end_design
Lecture20 asic back_end_designLecture20 asic back_end_design
Lecture20 asic back_end_design
Hung Nguyen
 
Design & Simulation With Verilog
Design & Simulation With Verilog Design & Simulation With Verilog
Design & Simulation With Verilog
Semi Design
 
ASCIC.ppt
ASCIC.pptASCIC.ppt
ASCIC.ppt
8885684828
 
module 1-2 - Design Methods, parameters and examples.pptx
module 1-2 - Design Methods, parameters and examples.pptxmodule 1-2 - Design Methods, parameters and examples.pptx
module 1-2 - Design Methods, parameters and examples.pptx
Maaz609108
 
L14-Embedded.ppt
L14-Embedded.pptL14-Embedded.ppt
L14-Embedded.ppt
AashuSah2
 
Topic2a ss pipelines
Topic2a ss pipelinesTopic2a ss pipelines
Topic2a ss pipelines
turki_09
 
VLSI design Dr B.jagadeesh UNIT-5.pptx
VLSI design Dr B.jagadeesh   UNIT-5.pptxVLSI design Dr B.jagadeesh   UNIT-5.pptx
VLSI design Dr B.jagadeesh UNIT-5.pptx
jagadeesh276791
 
Scaling Networks Lab Manual 1st Edition Cisco Solutions Manual
Scaling Networks Lab Manual 1st Edition Cisco Solutions ManualScaling Networks Lab Manual 1st Edition Cisco Solutions Manual
Scaling Networks Lab Manual 1st Edition Cisco Solutions Manual
nudicixox
 
Trends and challenges in IP based SOC design
Trends and challenges in IP based SOC designTrends and challenges in IP based SOC design
Trends and challenges in IP based SOC design
AishwaryaRavishankar8
 
Xilinx timing closure
Xilinx timing closureXilinx timing closure
Xilinx timing closure
Sampath Reddy
 
Pipeline & Nonpipeline Processor
Pipeline & Nonpipeline ProcessorPipeline & Nonpipeline Processor
Pipeline & Nonpipeline Processor
Smit Shah
 

Similar to Pd flow i (20)

Physical design
Physical design Physical design
Physical design
 
ZERO WIRE LOAD MODEL.pptx
ZERO WIRE LOAD MODEL.pptxZERO WIRE LOAD MODEL.pptx
ZERO WIRE LOAD MODEL.pptx
 
Complete ASIC design flow - VLSI UNIVERSE
Complete ASIC design flow - VLSI UNIVERSEComplete ASIC design flow - VLSI UNIVERSE
Complete ASIC design flow - VLSI UNIVERSE
 
ASIC DESIGN OF MINI-STEREO DIGITAL AUDIO PROCESSOR UNDER SMIC 180NM TECHNOLOGY
ASIC DESIGN OF MINI-STEREO DIGITAL AUDIO PROCESSOR UNDER SMIC 180NM TECHNOLOGYASIC DESIGN OF MINI-STEREO DIGITAL AUDIO PROCESSOR UNDER SMIC 180NM TECHNOLOGY
ASIC DESIGN OF MINI-STEREO DIGITAL AUDIO PROCESSOR UNDER SMIC 180NM TECHNOLOGY
 
IRJET - Augmented Tangible Style using 8051 MCU
IRJET -  	  Augmented Tangible Style using 8051 MCUIRJET -  	  Augmented Tangible Style using 8051 MCU
IRJET - Augmented Tangible Style using 8051 MCU
 
Cisco Networking - WAN Implementation with SOHO ConfigurationYou.docx
Cisco Networking - WAN Implementation with SOHO ConfigurationYou.docxCisco Networking - WAN Implementation with SOHO ConfigurationYou.docx
Cisco Networking - WAN Implementation with SOHO ConfigurationYou.docx
 
Implementation strategies for digital ics
Implementation strategies for digital icsImplementation strategies for digital ics
Implementation strategies for digital ics
 
Maquina estado
Maquina estadoMaquina estado
Maquina estado
 
Topic 5 Digital Technique basic computer structure
Topic 5 Digital Technique basic computer structureTopic 5 Digital Technique basic computer structure
Topic 5 Digital Technique basic computer structure
 
Lecture20 asic back_end_design
Lecture20 asic back_end_designLecture20 asic back_end_design
Lecture20 asic back_end_design
 
Design & Simulation With Verilog
Design & Simulation With Verilog Design & Simulation With Verilog
Design & Simulation With Verilog
 
ASCIC.ppt
ASCIC.pptASCIC.ppt
ASCIC.ppt
 
module 1-2 - Design Methods, parameters and examples.pptx
module 1-2 - Design Methods, parameters and examples.pptxmodule 1-2 - Design Methods, parameters and examples.pptx
module 1-2 - Design Methods, parameters and examples.pptx
 
L14-Embedded.ppt
L14-Embedded.pptL14-Embedded.ppt
L14-Embedded.ppt
 
Topic2a ss pipelines
Topic2a ss pipelinesTopic2a ss pipelines
Topic2a ss pipelines
 
VLSI design Dr B.jagadeesh UNIT-5.pptx
VLSI design Dr B.jagadeesh   UNIT-5.pptxVLSI design Dr B.jagadeesh   UNIT-5.pptx
VLSI design Dr B.jagadeesh UNIT-5.pptx
 
Scaling Networks Lab Manual 1st Edition Cisco Solutions Manual
Scaling Networks Lab Manual 1st Edition Cisco Solutions ManualScaling Networks Lab Manual 1st Edition Cisco Solutions Manual
Scaling Networks Lab Manual 1st Edition Cisco Solutions Manual
 
Trends and challenges in IP based SOC design
Trends and challenges in IP based SOC designTrends and challenges in IP based SOC design
Trends and challenges in IP based SOC design
 
Xilinx timing closure
Xilinx timing closureXilinx timing closure
Xilinx timing closure
 
Pipeline & Nonpipeline Processor
Pipeline & Nonpipeline ProcessorPipeline & Nonpipeline Processor
Pipeline & Nonpipeline Processor
 

Recently uploaded

Unlock the Future of Search with MongoDB Atlas_ Vector Search Unleashed.pdf
Unlock the Future of Search with MongoDB Atlas_ Vector Search Unleashed.pdfUnlock the Future of Search with MongoDB Atlas_ Vector Search Unleashed.pdf
Unlock the Future of Search with MongoDB Atlas_ Vector Search Unleashed.pdf
Malak Abu Hammad
 
Mind map of terminologies used in context of Generative AI
Mind map of terminologies used in context of Generative AIMind map of terminologies used in context of Generative AI
Mind map of terminologies used in context of Generative AI
Kumud Singh
 
GraphSummit Singapore | The Art of the Possible with Graph - Q2 2024
GraphSummit Singapore | The Art of the  Possible with Graph - Q2 2024GraphSummit Singapore | The Art of the  Possible with Graph - Q2 2024
GraphSummit Singapore | The Art of the Possible with Graph - Q2 2024
Neo4j
 
Goodbye Windows 11: Make Way for Nitrux Linux 3.5.0!
Goodbye Windows 11: Make Way for Nitrux Linux 3.5.0!Goodbye Windows 11: Make Way for Nitrux Linux 3.5.0!
Goodbye Windows 11: Make Way for Nitrux Linux 3.5.0!
SOFTTECHHUB
 
Climate Impact of Software Testing at Nordic Testing Days
Climate Impact of Software Testing at Nordic Testing DaysClimate Impact of Software Testing at Nordic Testing Days
Climate Impact of Software Testing at Nordic Testing Days
Kari Kakkonen
 
Serial Arm Control in Real Time Presentation
Serial Arm Control in Real Time PresentationSerial Arm Control in Real Time Presentation
Serial Arm Control in Real Time Presentation
tolgahangng
 
UiPath Test Automation using UiPath Test Suite series, part 5
UiPath Test Automation using UiPath Test Suite series, part 5UiPath Test Automation using UiPath Test Suite series, part 5
UiPath Test Automation using UiPath Test Suite series, part 5
DianaGray10
 
RESUME BUILDER APPLICATION Project for students
RESUME BUILDER APPLICATION Project for studentsRESUME BUILDER APPLICATION Project for students
RESUME BUILDER APPLICATION Project for students
KAMESHS29
 
Best 20 SEO Techniques To Improve Website Visibility In SERP
Best 20 SEO Techniques To Improve Website Visibility In SERPBest 20 SEO Techniques To Improve Website Visibility In SERP
Best 20 SEO Techniques To Improve Website Visibility In SERP
Pixlogix Infotech
 
AI 101: An Introduction to the Basics and Impact of Artificial Intelligence
AI 101: An Introduction to the Basics and Impact of Artificial IntelligenceAI 101: An Introduction to the Basics and Impact of Artificial Intelligence
AI 101: An Introduction to the Basics and Impact of Artificial Intelligence
IndexBug
 
National Security Agency - NSA mobile device best practices
National Security Agency - NSA mobile device best practicesNational Security Agency - NSA mobile device best practices
National Security Agency - NSA mobile device best practices
Quotidiano Piemontese
 
HCL Notes and Domino License Cost Reduction in the World of DLAU
HCL Notes and Domino License Cost Reduction in the World of DLAUHCL Notes and Domino License Cost Reduction in the World of DLAU
HCL Notes and Domino License Cost Reduction in the World of DLAU
panagenda
 
HCL Notes und Domino Lizenzkostenreduzierung in der Welt von DLAU
HCL Notes und Domino Lizenzkostenreduzierung in der Welt von DLAUHCL Notes und Domino Lizenzkostenreduzierung in der Welt von DLAU
HCL Notes und Domino Lizenzkostenreduzierung in der Welt von DLAU
panagenda
 
Microsoft - Power Platform_G.Aspiotis.pdf
Microsoft - Power Platform_G.Aspiotis.pdfMicrosoft - Power Platform_G.Aspiotis.pdf
Microsoft - Power Platform_G.Aspiotis.pdf
Uni Systems S.M.S.A.
 
Communications Mining Series - Zero to Hero - Session 1
Communications Mining Series - Zero to Hero - Session 1Communications Mining Series - Zero to Hero - Session 1
Communications Mining Series - Zero to Hero - Session 1
DianaGray10
 
Artificial Intelligence for XMLDevelopment
Artificial Intelligence for XMLDevelopmentArtificial Intelligence for XMLDevelopment
Artificial Intelligence for XMLDevelopment
Octavian Nadolu
 
Full-RAG: A modern architecture for hyper-personalization
Full-RAG: A modern architecture for hyper-personalizationFull-RAG: A modern architecture for hyper-personalization
Full-RAG: A modern architecture for hyper-personalization
Zilliz
 
GraphSummit Singapore | The Future of Agility: Supercharging Digital Transfor...
GraphSummit Singapore | The Future of Agility: Supercharging Digital Transfor...GraphSummit Singapore | The Future of Agility: Supercharging Digital Transfor...
GraphSummit Singapore | The Future of Agility: Supercharging Digital Transfor...
Neo4j
 
Building Production Ready Search Pipelines with Spark and Milvus
Building Production Ready Search Pipelines with Spark and MilvusBuilding Production Ready Search Pipelines with Spark and Milvus
Building Production Ready Search Pipelines with Spark and Milvus
Zilliz
 
UiPath Test Automation using UiPath Test Suite series, part 6
UiPath Test Automation using UiPath Test Suite series, part 6UiPath Test Automation using UiPath Test Suite series, part 6
UiPath Test Automation using UiPath Test Suite series, part 6
DianaGray10
 

Recently uploaded (20)

Unlock the Future of Search with MongoDB Atlas_ Vector Search Unleashed.pdf
Unlock the Future of Search with MongoDB Atlas_ Vector Search Unleashed.pdfUnlock the Future of Search with MongoDB Atlas_ Vector Search Unleashed.pdf
Unlock the Future of Search with MongoDB Atlas_ Vector Search Unleashed.pdf
 
Mind map of terminologies used in context of Generative AI
Mind map of terminologies used in context of Generative AIMind map of terminologies used in context of Generative AI
Mind map of terminologies used in context of Generative AI
 
GraphSummit Singapore | The Art of the Possible with Graph - Q2 2024
GraphSummit Singapore | The Art of the  Possible with Graph - Q2 2024GraphSummit Singapore | The Art of the  Possible with Graph - Q2 2024
GraphSummit Singapore | The Art of the Possible with Graph - Q2 2024
 
Goodbye Windows 11: Make Way for Nitrux Linux 3.5.0!
Goodbye Windows 11: Make Way for Nitrux Linux 3.5.0!Goodbye Windows 11: Make Way for Nitrux Linux 3.5.0!
Goodbye Windows 11: Make Way for Nitrux Linux 3.5.0!
 
Climate Impact of Software Testing at Nordic Testing Days
Climate Impact of Software Testing at Nordic Testing DaysClimate Impact of Software Testing at Nordic Testing Days
Climate Impact of Software Testing at Nordic Testing Days
 
Serial Arm Control in Real Time Presentation
Serial Arm Control in Real Time PresentationSerial Arm Control in Real Time Presentation
Serial Arm Control in Real Time Presentation
 
UiPath Test Automation using UiPath Test Suite series, part 5
UiPath Test Automation using UiPath Test Suite series, part 5UiPath Test Automation using UiPath Test Suite series, part 5
UiPath Test Automation using UiPath Test Suite series, part 5
 
RESUME BUILDER APPLICATION Project for students
RESUME BUILDER APPLICATION Project for studentsRESUME BUILDER APPLICATION Project for students
RESUME BUILDER APPLICATION Project for students
 
Best 20 SEO Techniques To Improve Website Visibility In SERP
Best 20 SEO Techniques To Improve Website Visibility In SERPBest 20 SEO Techniques To Improve Website Visibility In SERP
Best 20 SEO Techniques To Improve Website Visibility In SERP
 
AI 101: An Introduction to the Basics and Impact of Artificial Intelligence
AI 101: An Introduction to the Basics and Impact of Artificial IntelligenceAI 101: An Introduction to the Basics and Impact of Artificial Intelligence
AI 101: An Introduction to the Basics and Impact of Artificial Intelligence
 
National Security Agency - NSA mobile device best practices
National Security Agency - NSA mobile device best practicesNational Security Agency - NSA mobile device best practices
National Security Agency - NSA mobile device best practices
 
HCL Notes and Domino License Cost Reduction in the World of DLAU
HCL Notes and Domino License Cost Reduction in the World of DLAUHCL Notes and Domino License Cost Reduction in the World of DLAU
HCL Notes and Domino License Cost Reduction in the World of DLAU
 
HCL Notes und Domino Lizenzkostenreduzierung in der Welt von DLAU
HCL Notes und Domino Lizenzkostenreduzierung in der Welt von DLAUHCL Notes und Domino Lizenzkostenreduzierung in der Welt von DLAU
HCL Notes und Domino Lizenzkostenreduzierung in der Welt von DLAU
 
Microsoft - Power Platform_G.Aspiotis.pdf
Microsoft - Power Platform_G.Aspiotis.pdfMicrosoft - Power Platform_G.Aspiotis.pdf
Microsoft - Power Platform_G.Aspiotis.pdf
 
Communications Mining Series - Zero to Hero - Session 1
Communications Mining Series - Zero to Hero - Session 1Communications Mining Series - Zero to Hero - Session 1
Communications Mining Series - Zero to Hero - Session 1
 
Artificial Intelligence for XMLDevelopment
Artificial Intelligence for XMLDevelopmentArtificial Intelligence for XMLDevelopment
Artificial Intelligence for XMLDevelopment
 
Full-RAG: A modern architecture for hyper-personalization
Full-RAG: A modern architecture for hyper-personalizationFull-RAG: A modern architecture for hyper-personalization
Full-RAG: A modern architecture for hyper-personalization
 
GraphSummit Singapore | The Future of Agility: Supercharging Digital Transfor...
GraphSummit Singapore | The Future of Agility: Supercharging Digital Transfor...GraphSummit Singapore | The Future of Agility: Supercharging Digital Transfor...
GraphSummit Singapore | The Future of Agility: Supercharging Digital Transfor...
 
Building Production Ready Search Pipelines with Spark and Milvus
Building Production Ready Search Pipelines with Spark and MilvusBuilding Production Ready Search Pipelines with Spark and Milvus
Building Production Ready Search Pipelines with Spark and Milvus
 
UiPath Test Automation using UiPath Test Suite series, part 6
UiPath Test Automation using UiPath Test Suite series, part 6UiPath Test Automation using UiPath Test Suite series, part 6
UiPath Test Automation using UiPath Test Suite series, part 6
 

Pd flow i

  • 1. PD Flow I – Floorplan by Som | May 19, 2017 | Weekly-Training-Sessions | 18 comments Blog Views: 3,864 PHYSICAL DESIGN – I (Import Design, Floorplan, Placement) Physical design is process of transforming netlist into layout which is manufacture-able [GDS]. Physical design process is often referred as PnR (Place and Route) / APR (Automatic Place & Route). Main steps in physical design are placement of all logical cells, clock tree synthesis & routing. During this process of physical design timing, power, design & technology constraints have to be met. Further design might require being optimized w.r.t area, power and performance. General Physical Design Flow is shown below, 1. IMPORT DESIGN / NETLISTIN Import design is the first step in Physical Design. In this stage all required inputs & required references are read into the tool. And also basic checks are done (design, technology consistency). Inputs required
  • 2. 1. Gate level netlist 2. Logical (Timing) & Physical views of standard cells & all other IPs used in the design 3. Timing constraints (SDC) 4. Power Intent (UPF / CPF) 5. FP DEF & Scan DEF 6. Technology file 7. RC Co-efficient files How to qualify Import Design? 1. Check errors & warning while reading netlist. Understand all warnings 2. Check for black boxes 3. Check errors & warning while reading timing constraints. Understand all warnings 4. Check errors & warning while reading UPF/CPF. Understand all warnings 5. Timing QoR (Minimal violations with fixable WNS & TNS) 6. Check MV Design (Equivalent to LP checks). Fix all errors & understand all warning 7. Check for assign & tri statements (Usually its checked & fixed after Synthesis) Timing analyses after Import Design It is always a good practice to do quick timing analyses after import design. Even though post synthesis timing analyses is done in timing tool (PT, Tempus/ETS), it’s better to check post synthesis timing QoR in PnR tools also (ICC, Innovus, Olympus) before actual implementation starts. Why it is required? ICC/Innovus optimizes critical timing paths (violating paths) which are seen by it. There can be chances that PnR tool is showing a complete different timing QoR (huge violations) compared to Post Syn QoR seen in PT/Tempus. It can be because of correlation issue / constraints issue. We can avoid unnecessary optimization; timing & design closure will be easy if we correlate Import Design timing QoR with Post Syn timing QoR. 2. FLOORPLAN Floorplan is one the critical & important step in Physical design. Quality of your Chip / Design implementation depends on how good is the Floorplan. A good floorplan can be make implementation process (place, cts, route & timing closure) cake walk. On similar lines a bad floorplan can create all kind issues in the design (congestion, timing, noise, ir, routing issues). A bad floorplan will blow up the area, power & affects reliability, life of the IC and also it can increase overall IC cost (more effort to closure, more LVTs/ULVTs) Before staring of Floorplan, it is better to have basic design understanding, data flow of the design, integration guidelines of any special analog hard IPs in the design. And for block/partition level designs understanding the placement & IO interactions of the block in Full chip will help in coming up with good floorplan. What is required to come with a good floorplan? 1. Basic design understating 2. Data flow diagram (DFA / Analyze logic connectivity in Synopsys ICC) 3. Integration guidelines 4. IO / Pin placement requirements
  • 3. 5. Special requirements from Full Chip floorplan 6. MV / LP requirements. Understanding of PDs & Vas Different types of partitions / blocks 1. Memory intensive digital cores, graphic cores 2. Partitions / Blocks with analog Hard IPs 3. DDR & other High Speed Interface partitions / blocks / sub-systems 4. Channel partitions Partitions with different critical tasks 1. Timing critical 2. Routing critical / Congestion 3. Blocks with complex Clock structure Types of floorplan techniques used in Full Chip plan 1. Abutted (All inter block pin connections are done through FTs) 2. Non abutted (Channel based. All inter block pin connections are routed in channels) 3. Mix of both – partially abutted with some channels FLOORPLAN STEPS 1. Size & shape of the block (Usually provided by FC floorplan) 2. Voltage area creation (Power domains) 3. IO placement
  • 4. 4. Creating standard cell rows 5. Macro-placement 6. Adding routing & placement blockages (as required) 7. Adding power switches (Daisy chain) 8. Creating Power Mesh 9. Adding physical cells (Well taps, End Caps etc) 10. Placing & qualifying pushdown cells 11. Creating bounds / plan groups / density screens Detailed discussion 1. Shape & size of the block / partition In most of the case, block size & shape is decided by FC floorplan. Rectangle/Square shape is best in terms of floorplan & further design closure. But in many case, floorplan can be of rectilinear shape with many notches. It is always good practice to discuss with FC floorplan team for any scope to improve block/partition level floorplan. 2. Voltage area creation In multi-voltage & multi power domain designs, voltage areas are required to guide the tool to understand different domains. There are two methods to create voltage area; 1. Abutted voltage area (Cells are not allowed to place in default voltage area)  As is no default domain area, voltage area feed-through (VA-FT) are required to cross over different voltage areas. 2. Non-abutted voltage area (Cells are allowed to place in default voltage area)
  • 5. 3. IO / Pin placement IOs / Pins are placed at the boundary of the block. Usually pin placement information is pushed down from FC floorplan. But these locations can be changed based on block critical requirements.
  • 6. Any change in pin location has to be discussed with FC floorplan team. Timing critical interfaces need special attention, like next 2-3 levels of logic from IOs are pre-placed near the IOs). Source synchronous interfaces requires delay balancing taking OCV into considerations (This will require manual placement & scripting) 4. Row creation Rows area created in the design using cell-site (unit / basic). Rows aid in systematic placement of standard cells. And standard cell power routes done considering rows. Rows can be cut, wherever cell placement is not allowed OR hard placement blockage can also be used. 5. Macro placement
  • 7. Step 1 – Understand Pins & Orientation requirements of Macros
  • 8. Step 2 – Follow data flow / hierarchy to place the Macros. Make use of reference floorplan if available Step 3 – All the pins of the Macros should point towards the core logic
  • 9. Step 4 – Channels b/w macros should be big enough to accommodate all routing reqs & should get a minimum of one pair VDD & VSS power grids in the channel Automatic Floorplan / Macro-placement Most of the PnR tools provide automatic floorplan option. Automatic floorplan option creates its own macro placement based on the effort & other options. But these options are not matured enough to give optimum floorplan for all kind of designs. This option will be handy, when design has 100s of Macros, but generated floorplan needs lot of modification for further optimizations. How to qualify Macro – Placement 1. All macros should be placed at the boundary 2. Check the orientation & pin directions of all macros 3. Spacing b/w macros should be enough for routing & power grid 4. Macros should not block partition level pins 5. [Iterations] Less congestion & good timing QoR – These cannot be achieved in one shot, but need few iterations [Thorough & deep analyses are the key things while iterating] 6. Adding placement & routing blockages
  • 10. Buffer only blockages are added in channels b/w macros. Partial placement blockages can be added b/w the channels blocking sequential cells (whose placement in channels can degrade CTS QoR). Partial blockages are added in congestion prone areas/notches/corners 7. Adding power switches Power switches are required to gate the power supply of gated domain when not required. Power switches are MT-CMOS (multi-threshold) cells, which will have very high threshold voltage when device is OFF & very low threshold voltage when device is on. Power switches are inserted in power mesh & supply to all gated domain cells will be through power switches. Hence a single / few switches are not enough. A strong network of power switches connected in daisy chain fashion will be inserted in the design.
  • 11. 8. Adding special cells (Well Taps, EndCaps, Spare Cells, Metal ECO-able cells etc) Well connection – Almost all standard cell libraries are tap-less (substrate connections are not done @ cell level). So Well-taps cells are added in partition/chip level to tie the wells to VDD/VSS. Tap-gate spacing has to be met while adding well-tap array.
  • 12. EndCap Cells – These cells are inserted to take care of boundary DRC of Wells & Other layers. End Cap Cells ensure proper terminations of rows, so that no DRC are created. This is a physical-only cell.
  • 13. How to qualify Floorplan? 1. Check PG connections (For macros & pre-placed cells only) 2. LP / MV checks on floorplan database 3. Check the power connections to all Macros, specially analog/special macros if any 4. All the macros should be placed at the boundary 5. There should not be any notches / thin channels. If unavoidable, proper blockages has to be added 6. Remove all unnecessary placement blockages & routing blockages (which might be put during floor- plan & pre-placing) 7. Check power connection to power switches 8. Check power mesh in different voltage area voltage area 9. Check pin-layers & check layer directions (H-V-H)