SlideShare a Scribd company logo
1 of 65
Nabil Chouba http:// nabil.chouba.googlepages.com Semiconductor  overview
The Beginning   1947 : Point Contact Transistor  BELL LABS  :  Bardenn, Brattain & Shockley  * William Shockley : 1956 Nobel Prize in Physics
Integrated Circuit from 1960 to 2010 1961 First planer IC "flip-flop"   2010 IBM POWER7   transistors:  1.2 B   Invented by  Robert Noyce ,   Fairchild  *integrated circuit Invented by  Jack Kilby , Texas Instruments   *cmos 45 ,  5  GHz, cache,  D ual DDR3 memory controllers   Level 1 & 2 caches remain SRAM ,32MB  eDRAM  on-chip Level 3
Processor Evolution   1979  MOTOROLA 68000 the Most Powerful µp16-Bit  40k  transistors 1971  Intel 4004  The First µp  4-Bit 2,25k  transistors,24mm2 1976  Zilog   Z80  the Most Popular µp 8-bit 4,5k  transistors 1993  Intel Pentium 32 bit 3.1M  transistors 2003  AMD  Opteron  64 bit 233M  transistors 2008   AMD Barcelona Quad-Core 128 bit  463M  transistors ,283 mm2
Moore's Law : 1960 -Number of transistors on integrated circuit : Doubling every two years. -RAM storage capacity  &  Power consumption : Doubling every 18 months.  *Gordon Moore
Transistor Scaling i4004
Financier Impact of Moore Law *Price of Megabit in CMOS
Human Brain   In 2010, the semiconductor industry Manufactured roughly 1 billion transistors for every human on the planet;
CMOS technology ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
NMOS Transistor Basics 1. Cut-off Region:  no channel exists (iD = 0) for all values of VD. (VGS < Vt)  2. Triode Region:  The NMOS transistor is active and not “pinched off.”  This means the value of VDS affects the value of iD (VGS > Vt and VDS ≤ VGS – Vt).  3. Saturation Region:  The channel is “pinched off” because increases in VD have no affect on iD  (VGS > Vt and VDS > VGS – Vt)
Saturation Region Technology fixed parameters : μ eff   : is the charge-carrier effective mobility, Cox   : is the gate oxide capacitance per unit area m  : is the Body effect Vt   : is the threshold voltage  Fixed by designer :  W  : is the gate width L   : is the gate length (L min  fixed by the Technology ) V gs  ( = Vdd)
NMOS & PMOS Transistor complementary and symmetrical pairs  of p-type and n-type MOSFETs transistor
CMOS NAND Gate  (back-end) Transistor Level Schematic Level Layout Level =0 = 1 =
ASIC FLOW (front-end) Schematic Block VHDL files Netlist Design Synthesis
Semiconductor Manufacturing   Sand Silicium   Wafer Die Packaging Chip Ingots
Manufacture/Making Ingots Czochralski process
Wafer ,[object Object],[object Object],[object Object]
Lithography  Process
Stepper Costing several hundred to several thousand million yen ASML, Ultratech, Nikon, Canon  - Early days of lithography used 456 nm wavelength light.  - Lithography today is using 193 nm wavelength light.
Interconnect Layer
Design Tor Test   Every chip are tested Teradyne tester ,[object Object],[object Object],[object Object],[object Object]
Defect on ASIC    Defect increase as cmos technology shrinks     Defect on metal 1 wire malfunction of wire bonding machine Number of defect  Transistor shrink Burnt part  During test.
TEST Cost Fabrication capital  versus  test capital.
Chip Failure Bathtub curve.
Power Dissipation   Thermal dissipation Traditional Power saving : -Lower the clock frequency (F clk ) -Lower the load capacity (C l ) -Lower the rail voltage (V dd ) Dynamic Power :  C l  V dd 2  P trans  F clk   Static Power :  leakage    gate thickness   New Power saving technique : -Power gating, Clock gating -Voltage & frequency scaling -Multi-voltage, Multi-threshold logic
TOP 10 from 1978 to 2008
Application Specific Integrated Circuit ASIC Semi- specific specific Programmable  FPGA Sea of gate Standard cell Full Custom SOC Image  sensor MEMS
MEMS (MicroElectroMechanical Systems)   ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
 
CMOS Image Sensors ,[object Object],[object Object],[object Object],[object Object]
Image Sensors (example) - 352 x 288 image array - 60 frames per second image capture - Advanced algorithms to : cancel Fixed Pattern Noise (FPN), Eliminate smearing, reduce blooming.  - Programmable I2C : control, gamma, gain, white balance, color matrix, windowing, and image output in either 4-, 8- or 16 bit digital formats
Full Custom ,[object Object],[object Object],[object Object],[object Object]
Sea of gates array ,[object Object],[object Object],[object Object],[object Object]
Advantages  : =>  mixed system possible ( analog/digital) =>  internal   flexibility =>  high density Disadvantages  : => middle cost =>  technology transistors / standard cell imposed and fixed   =>  complex   to master the technology   Sea of Gate  or  masked gate array (MGA)
Standard cell ,[object Object],[object Object],[object Object],[object Object],[object Object]
Advantages : =>  complete control of time parameters and electrical => mixed system possible ( analog/digital/memes ) => flexibility => very high density => Low Power, high speed techniques  Disadvantages  : => High cost  ( $20M and up for chips designed at 90nm)  => hard and  complex   to master the technology   =>  few companies (low competition) => High volume Product  ASIC Standard Cell
FPGA Ken Chapman (Xilinx UK) 2003 . Programmable Interconnect ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
Advantages:  => Technology easy to master  => Reduced development time  => Reprogrammable for some (ideal for prototyping)  => Low cost   Disadvantages:  => Non-optimized performance  => Internal architecture completely frozen  => Only digital (with some exceptions) FPGA Field Programmable Gate Array
The Design Warrior’s Guide to FPGAs Devices, Tools, and Flows. ISBN 0750676043 Copyright © 2004 Mentor Graphics Corp. (www.mentor.com) Technology Timeline
FPGA Vs ASIC high moderate/high moderate /high All interconnection Weeks /months Weeks /months Standard  Cell Very high moderate low cost high moderate moderate speed high low Very low Density All interconnection none Masks manufactories All interconnection none Masks designs Weeks /months minutes/hours minutes/hours Modification time months /years Weeks /months days/weeks Development time  Full Custom Sea of  gate FPGA
FPGA Vs ASIC ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
Examples of fixed costs: training cost  for a new  electronic design automation  ( EDA ) system  hardware and software cost  •  productivity  •  production test  and  design for test  •  programming costs  for an FPGA •  nonrecurring-engineering  ( NRE ) •  test vectors  and  test-program development cost  •  pass  ( turn  or  spin ) •  profit model  represents the  profit flow  during the  product lifetime  •  product velocity  •  second source
FPGA Vs ASIC A break-even analysis for an FPGA, a masked gate  array (MGA) and a custom cell-based ASIC (CBIC).   Cost parts Number of parts or volume $1.000.000 $100.000 $10.000 10 100 1000 10.000 100.000 break-even FPGA / CBIC break-even FPGA / MGA break-even MGA / CBIC CBIC MGA FPGA
FPGA Vs ASIC ASICs comprise three separate regions,  each with its own complexity, performance and cost characteristics.
Staggering Chip Design Costs ,[object Object],[object Object],[object Object],[object Object],[object Object]
Software-Differentiated Hardware
FPGA (review)  Ken Chapman (Xilinx UK) 2003 . Programmable Interconnect ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
Market Forecast 15% FPGA provide the customizability of an ASIC without theneed to design and fab new devices for each platform. Xilinx has more software engineers than hardware engineers;  at Altera, the mix is roughly 50-50.
The Configurable Logic Blocks (CLBs) ,[object Object],[object Object],[object Object]
FPGA interconnect Logic  Block Switch  Block Wire Segment Programmable  Switch a c b e d f a=0  b=0  c=1  d=0  e=1  f=0 0  0  1  0  1  0 Programmable  FPGA Memory RAM/ROM c e
FPGA interconnect
Spartan-3/3E Family  Smallest Device - XC3S50  - XC3S100E 192 CLB 240 CLB 4 BRAM (18 KB each) 4 Multipliers Largest device - XC3S5000  - XC3S1600E 8320 CLB 3688 CLB 104 BRAM (18 KB each) 36 BRAM 104 Multipliers 36 Multipliers
Spartan-3 Product Matrix
Spartan-3 : Global Clock Network ,[object Object],[object Object],[object Object],[object Object]
Spartan-3 : Digital Clock Manager (DCM) ,[object Object],[object Object],[object Object],[object Object],[object Object]
Spartan-3 : RAM Block ,[object Object],[object Object],[object Object],Write Enable Clock Enable Set/Reset Clock Data Output Bus Parity Data Output Address Bus Data Input Bus Parity Data Input
Embedded RAM Operation
Spartan-3 : Dedicated Multipliers -Embedded multipliers that accept two 18-bit words as inputs  to produce a 36-bit product. - The input buses to the multiplier accept data in two’s-complement form (either 18-bit signed or 17-bit unsigned).
Additional cores  in FPGA The Design Warrior’s Guide to FPGAs Devices, Tools, and Flows. ISBN 0750676043 Copyright © 2004 Mentor Graphics Corp. (www.mentor.com)
Additional cores  in virtex FPGA Virtex-5Q FPGA Family Members Virtex-II
Mixed-signal FPGA – Actel  - Fusion Family -  ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
EDA Tools 1) Functional Verification Duopoly - Synopsys Vera and Cadence SpecMan &quot;e&quot; 2) Formal Verification Alternatives - Jasper, Mentor 0-In, Synopsys Magellan, Cadence IFV, Real Intent 3) RTL Simulation Triopoloy - Mentor ModelSim, Cadence NC-Sim, Synopsys VCS 4) RTL Synthesis Monopoly - Synopsys Design Compiler Alternatives - Cadence RTL Compiler, Magma BlastRTL, OAsys 5) Equivalence Checking Duopoly - Cadence Verplex and Synopsys Formality
EDA Tools 6) Test/ATPG/Scan/BIST Duopoly - Mentor FastScan/DFT Advisor and Synopsys TetraMax Alternatives: LogicVision 7) Floorplanning Semi-monopoly - Cadence First Encounter Alternatives: Magma Hydra, Synopsys Jupiter, Atoptech Apogee 8) Place and Route Triopoloy - Synopsys ICC, Magma Talus, Cadence Encounter Alternatives - Atoptech, Mentor Sierra 9) RC Extraction Duopoly - Synopsys Star-RCXT and Cadence Fire&Ice Alternatives - Mentor Calibre-xRC, Magma QuartzRC, Sequence Columbus
EDA Tools 10) IR Analysis Semi-monopoly - Apache Redhawk Alternatives - Cadence VoltageStorm 11) DRC/LVS Monopoly - Mentor Calibre Alternatives - Synopsys Hercules, Magma Quartz 12) Static Timing Monopoly - Synopsys PrimeTime Alternatives - Cadence ETS, Extreme GoldTime, Incentia TimeCraft, CLK-DA Amber, Magma QuartzTime 13) Signal Integrity Duopoly - Synopsys PT-SI and Cadence CeltIC Alternatives - Extreme GoldTime, Incentia TimeCraft, CLK-DA Amber
EDA Tools 16) FPGA Duopoly - Mentor Exemplar and Synopsys Synplicity Alternatives - tools from Xilinx and Altera 14) SPICE Alternatives - Synopsys HSIM/HSPICE, Cadence Spectre, Magma FineSim, Mentor, Nascentric, Berkeley 15) Full Custom Monopoly - Cadence Virtuoso Alternatives - SpringSoft Laker, Magma Titan, Synopsys Orion 17) Emulators/Acceletors Monopoly - Cadence Palladium Alternatives - Mentor Veloce, EVE, Dini, Synopsys HAPS

More Related Content

What's hot

Making of an Application Specific Integrated Circuit
Making of an Application Specific Integrated CircuitMaking of an Application Specific Integrated Circuit
Making of an Application Specific Integrated CircuitSWINDONSilicon
 
Asic design lect1 2 august 28 2012
Asic design lect1 2 august 28 2012Asic design lect1 2 august 28 2012
Asic design lect1 2 august 28 2012babak danyal
 
Hardware product design process
Hardware product design processHardware product design process
Hardware product design processVinay Singamsetty
 
Schematic and PCB Design Using Eagle
Schematic and PCB Design Using EagleSchematic and PCB Design Using Eagle
Schematic and PCB Design Using EagleMoe Moe Myint
 
SISTec Microelectronics VLSI design
SISTec Microelectronics VLSI designSISTec Microelectronics VLSI design
SISTec Microelectronics VLSI designDr. Ravi Mishra
 
Analog Layout design
Analog Layout design Analog Layout design
Analog Layout design slpinjare
 
Cadence Design Flow.pptx
Cadence Design Flow.pptxCadence Design Flow.pptx
Cadence Design Flow.pptxKunjPatel615667
 
Printed board circuit design (Pcb) PPT
 Printed board circuit design (Pcb) PPT Printed board circuit design (Pcb) PPT
Printed board circuit design (Pcb) PPTHoneyKumar34
 
System On Chip
System On ChipSystem On Chip
System On ChipA B Shinde
 
Hot Chips: AMD Next Gen 7nm Ryzen 4000 APU
Hot Chips: AMD Next Gen 7nm Ryzen 4000 APUHot Chips: AMD Next Gen 7nm Ryzen 4000 APU
Hot Chips: AMD Next Gen 7nm Ryzen 4000 APUAMD
 
System on Chip (SoC) for mobile phones
System on Chip (SoC) for mobile phonesSystem on Chip (SoC) for mobile phones
System on Chip (SoC) for mobile phonesJeffrey Funk
 

What's hot (20)

Making of an Application Specific Integrated Circuit
Making of an Application Specific Integrated CircuitMaking of an Application Specific Integrated Circuit
Making of an Application Specific Integrated Circuit
 
Introduction to EDA Tools
Introduction to EDA ToolsIntroduction to EDA Tools
Introduction to EDA Tools
 
Asic design lect1 2 august 28 2012
Asic design lect1 2 august 28 2012Asic design lect1 2 august 28 2012
Asic design lect1 2 august 28 2012
 
Hardware product design process
Hardware product design processHardware product design process
Hardware product design process
 
Finfet
FinfetFinfet
Finfet
 
Schematic and PCB Design Using Eagle
Schematic and PCB Design Using EagleSchematic and PCB Design Using Eagle
Schematic and PCB Design Using Eagle
 
Vlsi ppt priyanka
Vlsi ppt priyankaVlsi ppt priyanka
Vlsi ppt priyanka
 
SISTec Microelectronics VLSI design
SISTec Microelectronics VLSI designSISTec Microelectronics VLSI design
SISTec Microelectronics VLSI design
 
Analog Layout design
Analog Layout design Analog Layout design
Analog Layout design
 
System on Chip (SoC)
System on Chip (SoC)System on Chip (SoC)
System on Chip (SoC)
 
Fpga
FpgaFpga
Fpga
 
Cadence Design Flow.pptx
Cadence Design Flow.pptxCadence Design Flow.pptx
Cadence Design Flow.pptx
 
SoC: System On Chip
SoC: System On ChipSoC: System On Chip
SoC: System On Chip
 
Powerplanning
PowerplanningPowerplanning
Powerplanning
 
SOC design
SOC design SOC design
SOC design
 
Printed board circuit design (Pcb) PPT
 Printed board circuit design (Pcb) PPT Printed board circuit design (Pcb) PPT
Printed board circuit design (Pcb) PPT
 
System On Chip
System On ChipSystem On Chip
System On Chip
 
Asic design flow
Asic design flowAsic design flow
Asic design flow
 
Hot Chips: AMD Next Gen 7nm Ryzen 4000 APU
Hot Chips: AMD Next Gen 7nm Ryzen 4000 APUHot Chips: AMD Next Gen 7nm Ryzen 4000 APU
Hot Chips: AMD Next Gen 7nm Ryzen 4000 APU
 
System on Chip (SoC) for mobile phones
System on Chip (SoC) for mobile phonesSystem on Chip (SoC) for mobile phones
System on Chip (SoC) for mobile phones
 

Viewers also liked

High-Performance In0.75Ga0.25As Implant-Free n-Type MOSFETs for Low Power App...
High-Performance In0.75Ga0.25As Implant-Free n-Type MOSFETs for Low Power App...High-Performance In0.75Ga0.25As Implant-Free n-Type MOSFETs for Low Power App...
High-Performance In0.75Ga0.25As Implant-Free n-Type MOSFETs for Low Power App...ayubimoak
 
Terahertz trigate transistor
Terahertz trigate transistorTerahertz trigate transistor
Terahertz trigate transistorMrinal Pal
 
Minibug slidedeck
Minibug slidedeckMinibug slidedeck
Minibug slidedeckbuglabs
 
22nm tri-gate technology
22nm tri-gate technology22nm tri-gate technology
22nm tri-gate technologyArjun Challu
 
The Shift to 3D-IC Structures - Manufacturing and Process Control Challenges
The Shift to 3D-IC Structures - Manufacturing and Process Control ChallengesThe Shift to 3D-IC Structures - Manufacturing and Process Control Challenges
The Shift to 3D-IC Structures - Manufacturing and Process Control Challengeschiportal
 
Ehud tzuri 3 d challanges new
Ehud tzuri 3 d challanges    newEhud tzuri 3 d challanges    new
Ehud tzuri 3 d challanges newchiportal
 
Semiconductor Defect Management Separating The Vital Few From The Trivial Many
Semiconductor Defect Management Separating The Vital Few From The Trivial ManySemiconductor Defect Management Separating The Vital Few From The Trivial Many
Semiconductor Defect Management Separating The Vital Few From The Trivial ManyStuart Riley
 
Terahertz_Applications
Terahertz_ApplicationsTerahertz_Applications
Terahertz_Applicationskrishslide
 
Integrated circuit wafer
Integrated circuit waferIntegrated circuit wafer
Integrated circuit wafermyklmafia
 
Trends in the Backend for Semiconductor Wafer Inspection
Trends in the Backend for Semiconductor Wafer  InspectionTrends in the Backend for Semiconductor Wafer  Inspection
Trends in the Backend for Semiconductor Wafer InspectionRajiv Roy
 
Challenges in Integrated Electronic System Designs
Challenges in Integrated Electronic System DesignsChallenges in Integrated Electronic System Designs
Challenges in Integrated Electronic System DesignsManasa K
 
Achieving Power Noise Reliability Sign-off for FinFET based Designs
Achieving Power Noise Reliability Sign-off for FinFET based DesignsAchieving Power Noise Reliability Sign-off for FinFET based Designs
Achieving Power Noise Reliability Sign-off for FinFET based DesignsAnsys
 
Trigate transistors and future processors
Trigate transistors and future processors Trigate transistors and future processors
Trigate transistors and future processors Chinmay Chepurwar
 
Intel 14nm aug11
Intel 14nm aug11Intel 14nm aug11
Intel 14nm aug11lopatto
 
Analog Mixed-Signal Design in FinFET Processes
Analog Mixed-Signal Design in FinFET Processes Analog Mixed-Signal Design in FinFET Processes
Analog Mixed-Signal Design in FinFET Processes Design World
 
Terahertz_An introduction
Terahertz_An introductionTerahertz_An introduction
Terahertz_An introductionkrishslide
 

Viewers also liked (20)

High-Performance In0.75Ga0.25As Implant-Free n-Type MOSFETs for Low Power App...
High-Performance In0.75Ga0.25As Implant-Free n-Type MOSFETs for Low Power App...High-Performance In0.75Ga0.25As Implant-Free n-Type MOSFETs for Low Power App...
High-Performance In0.75Ga0.25As Implant-Free n-Type MOSFETs for Low Power App...
 
Terahertz trigate transistor
Terahertz trigate transistorTerahertz trigate transistor
Terahertz trigate transistor
 
Minibug slidedeck
Minibug slidedeckMinibug slidedeck
Minibug slidedeck
 
22nm tri-gate technology
22nm tri-gate technology22nm tri-gate technology
22nm tri-gate technology
 
The Shift to 3D-IC Structures - Manufacturing and Process Control Challenges
The Shift to 3D-IC Structures - Manufacturing and Process Control ChallengesThe Shift to 3D-IC Structures - Manufacturing and Process Control Challenges
The Shift to 3D-IC Structures - Manufacturing and Process Control Challenges
 
Ehud tzuri 3 d challanges new
Ehud tzuri 3 d challanges    newEhud tzuri 3 d challanges    new
Ehud tzuri 3 d challanges new
 
3d transistor
3d transistor3d transistor
3d transistor
 
Semiconductor Defect Management Separating The Vital Few From The Trivial Many
Semiconductor Defect Management Separating The Vital Few From The Trivial ManySemiconductor Defect Management Separating The Vital Few From The Trivial Many
Semiconductor Defect Management Separating The Vital Few From The Trivial Many
 
Terahertz_Applications
Terahertz_ApplicationsTerahertz_Applications
Terahertz_Applications
 
Integrated circuit wafer
Integrated circuit waferIntegrated circuit wafer
Integrated circuit wafer
 
Trends in the Backend for Semiconductor Wafer Inspection
Trends in the Backend for Semiconductor Wafer  InspectionTrends in the Backend for Semiconductor Wafer  Inspection
Trends in the Backend for Semiconductor Wafer Inspection
 
Challenges in Integrated Electronic System Designs
Challenges in Integrated Electronic System DesignsChallenges in Integrated Electronic System Designs
Challenges in Integrated Electronic System Designs
 
Achieving Power Noise Reliability Sign-off for FinFET based Designs
Achieving Power Noise Reliability Sign-off for FinFET based DesignsAchieving Power Noise Reliability Sign-off for FinFET based Designs
Achieving Power Noise Reliability Sign-off for FinFET based Designs
 
Trigate transistors and future processors
Trigate transistors and future processors Trigate transistors and future processors
Trigate transistors and future processors
 
Intel 14nm aug11
Intel 14nm aug11Intel 14nm aug11
Intel 14nm aug11
 
Analog Mixed-Signal Design in FinFET Processes
Analog Mixed-Signal Design in FinFET Processes Analog Mixed-Signal Design in FinFET Processes
Analog Mixed-Signal Design in FinFET Processes
 
Lecture14
Lecture14Lecture14
Lecture14
 
Sushant
SushantSushant
Sushant
 
tri gate transistors
tri gate transistorstri gate transistors
tri gate transistors
 
Terahertz_An introduction
Terahertz_An introductionTerahertz_An introduction
Terahertz_An introduction
 

Similar to Semiconductor overview

Technology overview
Technology overviewTechnology overview
Technology overviewvirtuehm
 
Hard IP Core design | Convolution Encoder
Hard IP Core design | Convolution EncoderHard IP Core design | Convolution Encoder
Hard IP Core design | Convolution EncoderArchit Vora
 
FPGA_prototyping proccesing with conclusion
FPGA_prototyping proccesing with conclusionFPGA_prototyping proccesing with conclusion
FPGA_prototyping proccesing with conclusionPersiPersi1
 
POLYTEDA PowerDRC/LVS overview
POLYTEDA PowerDRC/LVS overviewPOLYTEDA PowerDRC/LVS overview
POLYTEDA PowerDRC/LVS overviewAlexander Grudanov
 
Rf technology 5-8-2011-final-revised
Rf technology 5-8-2011-final-revisedRf technology 5-8-2011-final-revised
Rf technology 5-8-2011-final-revisedTom Terlizzi
 
Valladolid final-septiembre-2010
Valladolid final-septiembre-2010Valladolid final-septiembre-2010
Valladolid final-septiembre-2010TELECOM I+D
 
Chapter_01 Course Introduction.pdf
Chapter_01 Course Introduction.pdfChapter_01 Course Introduction.pdf
Chapter_01 Course Introduction.pdfVoThanhPhong3
 
00123160
0012316000123160
00123160pani256
 
Chandan Kumar_3+_Years _EXP
Chandan Kumar_3+_Years _EXPChandan Kumar_3+_Years _EXP
Chandan Kumar_3+_Years _EXPChandan kumar
 
My profile
My profileMy profile
My profiledhruv_63
 
Ic Technology
Ic Technology Ic Technology
Ic Technology sdpable
 
Cyclone II FPGA Overview
Cyclone II FPGA OverviewCyclone II FPGA Overview
Cyclone II FPGA OverviewPremier Farnell
 
Chandan Kumar_3+_Years _EXP
Chandan Kumar_3+_Years _EXPChandan Kumar_3+_Years _EXP
Chandan Kumar_3+_Years _EXPChandan kumar
 
underground cable fault location using aruino,gsm&gps
underground cable fault location using aruino,gsm&gps underground cable fault location using aruino,gsm&gps
underground cable fault location using aruino,gsm&gps Mohd Sohail
 

Similar to Semiconductor overview (20)

Technology overview
Technology overviewTechnology overview
Technology overview
 
Hard IP Core design | Convolution Encoder
Hard IP Core design | Convolution EncoderHard IP Core design | Convolution Encoder
Hard IP Core design | Convolution Encoder
 
FPGA_prototyping proccesing with conclusion
FPGA_prototyping proccesing with conclusionFPGA_prototyping proccesing with conclusion
FPGA_prototyping proccesing with conclusion
 
POLYTEDA PowerDRC/LVS overview
POLYTEDA PowerDRC/LVS overviewPOLYTEDA PowerDRC/LVS overview
POLYTEDA PowerDRC/LVS overview
 
Resume201411
Resume201411Resume201411
Resume201411
 
Rf technology 5-8-2011-final-revised
Rf technology 5-8-2011-final-revisedRf technology 5-8-2011-final-revised
Rf technology 5-8-2011-final-revised
 
Valladolid final-septiembre-2010
Valladolid final-septiembre-2010Valladolid final-septiembre-2010
Valladolid final-septiembre-2010
 
vlsi
vlsivlsi
vlsi
 
Chapter_01 Course Introduction.pdf
Chapter_01 Course Introduction.pdfChapter_01 Course Introduction.pdf
Chapter_01 Course Introduction.pdf
 
00123160
0012316000123160
00123160
 
Basics of vlsi
Basics of vlsiBasics of vlsi
Basics of vlsi
 
basic vlsi ppt
basic vlsi pptbasic vlsi ppt
basic vlsi ppt
 
9.atmel
9.atmel9.atmel
9.atmel
 
Chapter 10.pptx
Chapter 10.pptxChapter 10.pptx
Chapter 10.pptx
 
Chandan Kumar_3+_Years _EXP
Chandan Kumar_3+_Years _EXPChandan Kumar_3+_Years _EXP
Chandan Kumar_3+_Years _EXP
 
My profile
My profileMy profile
My profile
 
Ic Technology
Ic Technology Ic Technology
Ic Technology
 
Cyclone II FPGA Overview
Cyclone II FPGA OverviewCyclone II FPGA Overview
Cyclone II FPGA Overview
 
Chandan Kumar_3+_Years _EXP
Chandan Kumar_3+_Years _EXPChandan Kumar_3+_Years _EXP
Chandan Kumar_3+_Years _EXP
 
underground cable fault location using aruino,gsm&gps
underground cable fault location using aruino,gsm&gps underground cable fault location using aruino,gsm&gps
underground cable fault location using aruino,gsm&gps
 

More from Nabil Chouba

Global Positioning System 8051 GSM Traker
Global Positioning System 8051 GSM Traker Global Positioning System 8051 GSM Traker
Global Positioning System 8051 GSM Traker Nabil Chouba
 
Multilayer Neuronal network hardware implementation
Multilayer Neuronal network hardware implementation Multilayer Neuronal network hardware implementation
Multilayer Neuronal network hardware implementation Nabil Chouba
 
Count display VHDL tutorial
Count display VHDL tutorialCount display VHDL tutorial
Count display VHDL tutorialNabil Chouba
 
VGA VHDL RTL design tutorial
VGA  VHDL   RTL design tutorialVGA  VHDL   RTL design tutorial
VGA VHDL RTL design tutorialNabil Chouba
 
Uart VHDL RTL design tutorial
Uart VHDL RTL design tutorialUart VHDL RTL design tutorial
Uart VHDL RTL design tutorialNabil Chouba
 
Elementary µprocessor tutorial
Elementary µprocessor tutorial Elementary µprocessor tutorial
Elementary µprocessor tutorial Nabil Chouba
 
A BIST Architecture for Sigma Delta ADC Testing Based on Embedded NOEB Self-T...
A BIST Architecture for Sigma Delta ADC Testing Based on Embedded NOEB Self-T...A BIST Architecture for Sigma Delta ADC Testing Based on Embedded NOEB Self-T...
A BIST Architecture for Sigma Delta ADC Testing Based on Embedded NOEB Self-T...Nabil Chouba
 

More from Nabil Chouba (7)

Global Positioning System 8051 GSM Traker
Global Positioning System 8051 GSM Traker Global Positioning System 8051 GSM Traker
Global Positioning System 8051 GSM Traker
 
Multilayer Neuronal network hardware implementation
Multilayer Neuronal network hardware implementation Multilayer Neuronal network hardware implementation
Multilayer Neuronal network hardware implementation
 
Count display VHDL tutorial
Count display VHDL tutorialCount display VHDL tutorial
Count display VHDL tutorial
 
VGA VHDL RTL design tutorial
VGA  VHDL   RTL design tutorialVGA  VHDL   RTL design tutorial
VGA VHDL RTL design tutorial
 
Uart VHDL RTL design tutorial
Uart VHDL RTL design tutorialUart VHDL RTL design tutorial
Uart VHDL RTL design tutorial
 
Elementary µprocessor tutorial
Elementary µprocessor tutorial Elementary µprocessor tutorial
Elementary µprocessor tutorial
 
A BIST Architecture for Sigma Delta ADC Testing Based on Embedded NOEB Self-T...
A BIST Architecture for Sigma Delta ADC Testing Based on Embedded NOEB Self-T...A BIST Architecture for Sigma Delta ADC Testing Based on Embedded NOEB Self-T...
A BIST Architecture for Sigma Delta ADC Testing Based on Embedded NOEB Self-T...
 

Recently uploaded

Making_way_through_DLL_hollowing_inspite_of_CFG_by_Debjeet Banerjee.pptx
Making_way_through_DLL_hollowing_inspite_of_CFG_by_Debjeet Banerjee.pptxMaking_way_through_DLL_hollowing_inspite_of_CFG_by_Debjeet Banerjee.pptx
Making_way_through_DLL_hollowing_inspite_of_CFG_by_Debjeet Banerjee.pptxnull - The Open Security Community
 
SQL Database Design For Developers at php[tek] 2024
SQL Database Design For Developers at php[tek] 2024SQL Database Design For Developers at php[tek] 2024
SQL Database Design For Developers at php[tek] 2024Scott Keck-Warren
 
Beyond Boundaries: Leveraging No-Code Solutions for Industry Innovation
Beyond Boundaries: Leveraging No-Code Solutions for Industry InnovationBeyond Boundaries: Leveraging No-Code Solutions for Industry Innovation
Beyond Boundaries: Leveraging No-Code Solutions for Industry InnovationSafe Software
 
Azure Monitor & Application Insight to monitor Infrastructure & Application
Azure Monitor & Application Insight to monitor Infrastructure & ApplicationAzure Monitor & Application Insight to monitor Infrastructure & Application
Azure Monitor & Application Insight to monitor Infrastructure & ApplicationAndikSusilo4
 
Unblocking The Main Thread Solving ANRs and Frozen Frames
Unblocking The Main Thread Solving ANRs and Frozen FramesUnblocking The Main Thread Solving ANRs and Frozen Frames
Unblocking The Main Thread Solving ANRs and Frozen FramesSinan KOZAK
 
The Codex of Business Writing Software for Real-World Solutions 2.pptx
The Codex of Business Writing Software for Real-World Solutions 2.pptxThe Codex of Business Writing Software for Real-World Solutions 2.pptx
The Codex of Business Writing Software for Real-World Solutions 2.pptxMalak Abu Hammad
 
Neo4j - How KGs are shaping the future of Generative AI at AWS Summit London ...
Neo4j - How KGs are shaping the future of Generative AI at AWS Summit London ...Neo4j - How KGs are shaping the future of Generative AI at AWS Summit London ...
Neo4j - How KGs are shaping the future of Generative AI at AWS Summit London ...Neo4j
 
Transcript: #StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024
Transcript: #StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024Transcript: #StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024
Transcript: #StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024BookNet Canada
 
Integration and Automation in Practice: CI/CD in Mule Integration and Automat...
Integration and Automation in Practice: CI/CD in Mule Integration and Automat...Integration and Automation in Practice: CI/CD in Mule Integration and Automat...
Integration and Automation in Practice: CI/CD in Mule Integration and Automat...Patryk Bandurski
 
WhatsApp 9892124323 ✓Call Girls In Kalyan ( Mumbai ) secure service
WhatsApp 9892124323 ✓Call Girls In Kalyan ( Mumbai ) secure serviceWhatsApp 9892124323 ✓Call Girls In Kalyan ( Mumbai ) secure service
WhatsApp 9892124323 ✓Call Girls In Kalyan ( Mumbai ) secure servicePooja Nehwal
 
Advanced Test Driven-Development @ php[tek] 2024
Advanced Test Driven-Development @ php[tek] 2024Advanced Test Driven-Development @ php[tek] 2024
Advanced Test Driven-Development @ php[tek] 2024Scott Keck-Warren
 
Next-generation AAM aircraft unveiled by Supernal, S-A2
Next-generation AAM aircraft unveiled by Supernal, S-A2Next-generation AAM aircraft unveiled by Supernal, S-A2
Next-generation AAM aircraft unveiled by Supernal, S-A2Hyundai Motor Group
 
Injustice - Developers Among Us (SciFiDevCon 2024)
Injustice - Developers Among Us (SciFiDevCon 2024)Injustice - Developers Among Us (SciFiDevCon 2024)
Injustice - Developers Among Us (SciFiDevCon 2024)Allon Mureinik
 
Breaking the Kubernetes Kill Chain: Host Path Mount
Breaking the Kubernetes Kill Chain: Host Path MountBreaking the Kubernetes Kill Chain: Host Path Mount
Breaking the Kubernetes Kill Chain: Host Path MountPuma Security, LLC
 
GenCyber Cyber Security Day Presentation
GenCyber Cyber Security Day PresentationGenCyber Cyber Security Day Presentation
GenCyber Cyber Security Day PresentationMichael W. Hawkins
 
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...shyamraj55
 
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmaticsKotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmaticscarlostorres15106
 
Artificial intelligence in the post-deep learning era
Artificial intelligence in the post-deep learning eraArtificial intelligence in the post-deep learning era
Artificial intelligence in the post-deep learning eraDeakin University
 
Understanding the Laravel MVC Architecture
Understanding the Laravel MVC ArchitectureUnderstanding the Laravel MVC Architecture
Understanding the Laravel MVC ArchitecturePixlogix Infotech
 

Recently uploaded (20)

Making_way_through_DLL_hollowing_inspite_of_CFG_by_Debjeet Banerjee.pptx
Making_way_through_DLL_hollowing_inspite_of_CFG_by_Debjeet Banerjee.pptxMaking_way_through_DLL_hollowing_inspite_of_CFG_by_Debjeet Banerjee.pptx
Making_way_through_DLL_hollowing_inspite_of_CFG_by_Debjeet Banerjee.pptx
 
SQL Database Design For Developers at php[tek] 2024
SQL Database Design For Developers at php[tek] 2024SQL Database Design For Developers at php[tek] 2024
SQL Database Design For Developers at php[tek] 2024
 
Beyond Boundaries: Leveraging No-Code Solutions for Industry Innovation
Beyond Boundaries: Leveraging No-Code Solutions for Industry InnovationBeyond Boundaries: Leveraging No-Code Solutions for Industry Innovation
Beyond Boundaries: Leveraging No-Code Solutions for Industry Innovation
 
Azure Monitor & Application Insight to monitor Infrastructure & Application
Azure Monitor & Application Insight to monitor Infrastructure & ApplicationAzure Monitor & Application Insight to monitor Infrastructure & Application
Azure Monitor & Application Insight to monitor Infrastructure & Application
 
Unblocking The Main Thread Solving ANRs and Frozen Frames
Unblocking The Main Thread Solving ANRs and Frozen FramesUnblocking The Main Thread Solving ANRs and Frozen Frames
Unblocking The Main Thread Solving ANRs and Frozen Frames
 
The Codex of Business Writing Software for Real-World Solutions 2.pptx
The Codex of Business Writing Software for Real-World Solutions 2.pptxThe Codex of Business Writing Software for Real-World Solutions 2.pptx
The Codex of Business Writing Software for Real-World Solutions 2.pptx
 
Neo4j - How KGs are shaping the future of Generative AI at AWS Summit London ...
Neo4j - How KGs are shaping the future of Generative AI at AWS Summit London ...Neo4j - How KGs are shaping the future of Generative AI at AWS Summit London ...
Neo4j - How KGs are shaping the future of Generative AI at AWS Summit London ...
 
Transcript: #StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024
Transcript: #StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024Transcript: #StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024
Transcript: #StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024
 
Integration and Automation in Practice: CI/CD in Mule Integration and Automat...
Integration and Automation in Practice: CI/CD in Mule Integration and Automat...Integration and Automation in Practice: CI/CD in Mule Integration and Automat...
Integration and Automation in Practice: CI/CD in Mule Integration and Automat...
 
The transition to renewables in India.pdf
The transition to renewables in India.pdfThe transition to renewables in India.pdf
The transition to renewables in India.pdf
 
WhatsApp 9892124323 ✓Call Girls In Kalyan ( Mumbai ) secure service
WhatsApp 9892124323 ✓Call Girls In Kalyan ( Mumbai ) secure serviceWhatsApp 9892124323 ✓Call Girls In Kalyan ( Mumbai ) secure service
WhatsApp 9892124323 ✓Call Girls In Kalyan ( Mumbai ) secure service
 
Advanced Test Driven-Development @ php[tek] 2024
Advanced Test Driven-Development @ php[tek] 2024Advanced Test Driven-Development @ php[tek] 2024
Advanced Test Driven-Development @ php[tek] 2024
 
Next-generation AAM aircraft unveiled by Supernal, S-A2
Next-generation AAM aircraft unveiled by Supernal, S-A2Next-generation AAM aircraft unveiled by Supernal, S-A2
Next-generation AAM aircraft unveiled by Supernal, S-A2
 
Injustice - Developers Among Us (SciFiDevCon 2024)
Injustice - Developers Among Us (SciFiDevCon 2024)Injustice - Developers Among Us (SciFiDevCon 2024)
Injustice - Developers Among Us (SciFiDevCon 2024)
 
Breaking the Kubernetes Kill Chain: Host Path Mount
Breaking the Kubernetes Kill Chain: Host Path MountBreaking the Kubernetes Kill Chain: Host Path Mount
Breaking the Kubernetes Kill Chain: Host Path Mount
 
GenCyber Cyber Security Day Presentation
GenCyber Cyber Security Day PresentationGenCyber Cyber Security Day Presentation
GenCyber Cyber Security Day Presentation
 
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...
 
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmaticsKotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
 
Artificial intelligence in the post-deep learning era
Artificial intelligence in the post-deep learning eraArtificial intelligence in the post-deep learning era
Artificial intelligence in the post-deep learning era
 
Understanding the Laravel MVC Architecture
Understanding the Laravel MVC ArchitectureUnderstanding the Laravel MVC Architecture
Understanding the Laravel MVC Architecture
 

Semiconductor overview

  • 1. Nabil Chouba http:// nabil.chouba.googlepages.com Semiconductor overview
  • 2. The Beginning 1947 : Point Contact Transistor  BELL LABS : Bardenn, Brattain & Shockley * William Shockley : 1956 Nobel Prize in Physics
  • 3. Integrated Circuit from 1960 to 2010 1961 First planer IC &quot;flip-flop&quot; 2010 IBM POWER7 transistors: 1.2 B Invented by Robert Noyce , Fairchild *integrated circuit Invented by Jack Kilby , Texas Instruments *cmos 45 , 5 GHz, cache, D ual DDR3 memory controllers Level 1 & 2 caches remain SRAM ,32MB eDRAM on-chip Level 3
  • 4. Processor Evolution 1979 MOTOROLA 68000 the Most Powerful µp16-Bit 40k transistors 1971 Intel 4004 The First µp 4-Bit 2,25k transistors,24mm2 1976 Zilog Z80 the Most Popular µp 8-bit 4,5k transistors 1993 Intel Pentium 32 bit 3.1M transistors 2003 AMD Opteron 64 bit 233M transistors 2008 AMD Barcelona Quad-Core 128 bit 463M transistors ,283 mm2
  • 5. Moore's Law : 1960 -Number of transistors on integrated circuit : Doubling every two years. -RAM storage capacity & Power consumption : Doubling every 18 months. *Gordon Moore
  • 7. Financier Impact of Moore Law *Price of Megabit in CMOS
  • 8. Human Brain In 2010, the semiconductor industry Manufactured roughly 1 billion transistors for every human on the planet;
  • 9.
  • 10. NMOS Transistor Basics 1. Cut-off Region: no channel exists (iD = 0) for all values of VD. (VGS < Vt) 2. Triode Region: The NMOS transistor is active and not “pinched off.” This means the value of VDS affects the value of iD (VGS > Vt and VDS ≤ VGS – Vt). 3. Saturation Region: The channel is “pinched off” because increases in VD have no affect on iD (VGS > Vt and VDS > VGS – Vt)
  • 11. Saturation Region Technology fixed parameters : μ eff : is the charge-carrier effective mobility, Cox : is the gate oxide capacitance per unit area m : is the Body effect Vt : is the threshold voltage Fixed by designer : W : is the gate width L : is the gate length (L min fixed by the Technology ) V gs ( = Vdd)
  • 12. NMOS & PMOS Transistor complementary and symmetrical pairs of p-type and n-type MOSFETs transistor
  • 13. CMOS NAND Gate (back-end) Transistor Level Schematic Level Layout Level =0 = 1 =
  • 14. ASIC FLOW (front-end) Schematic Block VHDL files Netlist Design Synthesis
  • 15. Semiconductor Manufacturing Sand Silicium Wafer Die Packaging Chip Ingots
  • 17.
  • 19. Stepper Costing several hundred to several thousand million yen ASML, Ultratech, Nikon, Canon - Early days of lithography used 456 nm wavelength light. - Lithography today is using 193 nm wavelength light.
  • 21.
  • 22. Defect on ASIC  Defect increase as cmos technology shrinks  Defect on metal 1 wire malfunction of wire bonding machine Number of defect Transistor shrink Burnt part During test.
  • 23. TEST Cost Fabrication capital versus test capital.
  • 25. Power Dissipation Thermal dissipation Traditional Power saving : -Lower the clock frequency (F clk ) -Lower the load capacity (C l ) -Lower the rail voltage (V dd ) Dynamic Power : C l V dd 2 P trans F clk Static Power : leakage  gate thickness New Power saving technique : -Power gating, Clock gating -Voltage & frequency scaling -Multi-voltage, Multi-threshold logic
  • 26. TOP 10 from 1978 to 2008
  • 27. Application Specific Integrated Circuit ASIC Semi- specific specific Programmable FPGA Sea of gate Standard cell Full Custom SOC Image sensor MEMS
  • 28.
  • 29.  
  • 30.
  • 31. Image Sensors (example) - 352 x 288 image array - 60 frames per second image capture - Advanced algorithms to : cancel Fixed Pattern Noise (FPN), Eliminate smearing, reduce blooming. - Programmable I2C : control, gamma, gain, white balance, color matrix, windowing, and image output in either 4-, 8- or 16 bit digital formats
  • 32.
  • 33.
  • 34. Advantages : => mixed system possible ( analog/digital) => internal flexibility => high density Disadvantages : => middle cost => technology transistors / standard cell imposed and fixed => complex to master the technology Sea of Gate or masked gate array (MGA)
  • 35.
  • 36. Advantages : => complete control of time parameters and electrical => mixed system possible ( analog/digital/memes ) => flexibility => very high density => Low Power, high speed techniques Disadvantages : => High cost ( $20M and up for chips designed at 90nm) => hard and complex to master the technology => few companies (low competition) => High volume Product ASIC Standard Cell
  • 37.
  • 38. Advantages: => Technology easy to master => Reduced development time => Reprogrammable for some (ideal for prototyping) => Low cost Disadvantages: => Non-optimized performance => Internal architecture completely frozen => Only digital (with some exceptions) FPGA Field Programmable Gate Array
  • 39. The Design Warrior’s Guide to FPGAs Devices, Tools, and Flows. ISBN 0750676043 Copyright © 2004 Mentor Graphics Corp. (www.mentor.com) Technology Timeline
  • 40. FPGA Vs ASIC high moderate/high moderate /high All interconnection Weeks /months Weeks /months Standard Cell Very high moderate low cost high moderate moderate speed high low Very low Density All interconnection none Masks manufactories All interconnection none Masks designs Weeks /months minutes/hours minutes/hours Modification time months /years Weeks /months days/weeks Development time Full Custom Sea of gate FPGA
  • 41.
  • 42. Examples of fixed costs: training cost for a new electronic design automation ( EDA ) system hardware and software cost • productivity • production test and design for test • programming costs for an FPGA • nonrecurring-engineering ( NRE ) • test vectors and test-program development cost • pass ( turn or spin ) • profit model represents the profit flow during the product lifetime • product velocity • second source
  • 43. FPGA Vs ASIC A break-even analysis for an FPGA, a masked gate array (MGA) and a custom cell-based ASIC (CBIC). Cost parts Number of parts or volume $1.000.000 $100.000 $10.000 10 100 1000 10.000 100.000 break-even FPGA / CBIC break-even FPGA / MGA break-even MGA / CBIC CBIC MGA FPGA
  • 44. FPGA Vs ASIC ASICs comprise three separate regions, each with its own complexity, performance and cost characteristics.
  • 45.
  • 47.
  • 48. Market Forecast 15% FPGA provide the customizability of an ASIC without theneed to design and fab new devices for each platform. Xilinx has more software engineers than hardware engineers; at Altera, the mix is roughly 50-50.
  • 49.
  • 50. FPGA interconnect Logic Block Switch Block Wire Segment Programmable Switch a c b e d f a=0 b=0 c=1 d=0 e=1 f=0 0 0 1 0 1 0 Programmable FPGA Memory RAM/ROM c e
  • 52. Spartan-3/3E Family Smallest Device - XC3S50 - XC3S100E 192 CLB 240 CLB 4 BRAM (18 KB each) 4 Multipliers Largest device - XC3S5000 - XC3S1600E 8320 CLB 3688 CLB 104 BRAM (18 KB each) 36 BRAM 104 Multipliers 36 Multipliers
  • 54.
  • 55.
  • 56.
  • 58. Spartan-3 : Dedicated Multipliers -Embedded multipliers that accept two 18-bit words as inputs to produce a 36-bit product. - The input buses to the multiplier accept data in two’s-complement form (either 18-bit signed or 17-bit unsigned).
  • 59. Additional cores in FPGA The Design Warrior’s Guide to FPGAs Devices, Tools, and Flows. ISBN 0750676043 Copyright © 2004 Mentor Graphics Corp. (www.mentor.com)
  • 60. Additional cores in virtex FPGA Virtex-5Q FPGA Family Members Virtex-II
  • 61.
  • 62. EDA Tools 1) Functional Verification Duopoly - Synopsys Vera and Cadence SpecMan &quot;e&quot; 2) Formal Verification Alternatives - Jasper, Mentor 0-In, Synopsys Magellan, Cadence IFV, Real Intent 3) RTL Simulation Triopoloy - Mentor ModelSim, Cadence NC-Sim, Synopsys VCS 4) RTL Synthesis Monopoly - Synopsys Design Compiler Alternatives - Cadence RTL Compiler, Magma BlastRTL, OAsys 5) Equivalence Checking Duopoly - Cadence Verplex and Synopsys Formality
  • 63. EDA Tools 6) Test/ATPG/Scan/BIST Duopoly - Mentor FastScan/DFT Advisor and Synopsys TetraMax Alternatives: LogicVision 7) Floorplanning Semi-monopoly - Cadence First Encounter Alternatives: Magma Hydra, Synopsys Jupiter, Atoptech Apogee 8) Place and Route Triopoloy - Synopsys ICC, Magma Talus, Cadence Encounter Alternatives - Atoptech, Mentor Sierra 9) RC Extraction Duopoly - Synopsys Star-RCXT and Cadence Fire&Ice Alternatives - Mentor Calibre-xRC, Magma QuartzRC, Sequence Columbus
  • 64. EDA Tools 10) IR Analysis Semi-monopoly - Apache Redhawk Alternatives - Cadence VoltageStorm 11) DRC/LVS Monopoly - Mentor Calibre Alternatives - Synopsys Hercules, Magma Quartz 12) Static Timing Monopoly - Synopsys PrimeTime Alternatives - Cadence ETS, Extreme GoldTime, Incentia TimeCraft, CLK-DA Amber, Magma QuartzTime 13) Signal Integrity Duopoly - Synopsys PT-SI and Cadence CeltIC Alternatives - Extreme GoldTime, Incentia TimeCraft, CLK-DA Amber
  • 65. EDA Tools 16) FPGA Duopoly - Mentor Exemplar and Synopsys Synplicity Alternatives - tools from Xilinx and Altera 14) SPICE Alternatives - Synopsys HSIM/HSPICE, Cadence Spectre, Magma FineSim, Mentor, Nascentric, Berkeley 15) Full Custom Monopoly - Cadence Virtuoso Alternatives - SpringSoft Laker, Magma Titan, Synopsys Orion 17) Emulators/Acceletors Monopoly - Cadence Palladium Alternatives - Mentor Veloce, EVE, Dini, Synopsys HAPS