SlideShare a Scribd company logo
An Overview of Semiconductor
technology & Industry
Dr. Len Mei
2018/11/14
1
Agenda
• Technology
• Industry and Factory
• Economics
11/14/2018
2
11/14/2018
3
How technology started
On Dec.,23,1947, John
Bardeen and Walter
Brattain demonstrated a
point contact transistor
at Bell Lab
On July 4, 1951, William
Shockley built and
demonstrated a junction
transistor
Three of them won 1956
Nobel prize of Physics
4
Kilby’s integrated circuit 1958
 On September 12,
1958 Jack Kilby at
Texas Instrument put
two transistors on the
same Silicon.
 Integrated circuit
was born (2000 Nobel
prize of Physics)
5
Moore’s Law
• Moore’s Law: Gordon Moore, founder of Intel,
predicted that the number of transistors on
integrated circuit could double every two years.
• Semiconductor industry today is a $460 billion
industry.
• Semiconductor is the foundation of trillion
dollars electronics industry
6
Number of transistors per area
copy right by Dr. Len Mei 2017
7
Transistor count increases because it
becomes smaller…
8
In 2018, the most
advanced IC
production is using
7 nm technology.
Number of transistors per chip
9
11/14/2018
10
Intel 4004 – 2,300 transistors – 10 um
Intel’s integrated circuit 1970
The industry of IC was born.
11/14/2018
11
Intel 10 core xeon westmere – 2 billion transistors – 32 nm
2018
A12, Kirin 980 are
made at TSMC
Snapdragon is made
at Samsung
7 nm, 6.9 b transistors
7 nm
10 nm, 5.3 b transistors 7 nm, 6.9 b transistors
Integrated circuits 2018
Cross section of
an Integrated
Circuit
transistor
Minimum feature size
11/14/2018
12
3D view of integrated circuit
Oxide removed
Silicon substrate
Poly silicon
Metal conductor
11/14/2018
13
Size comparison
11/14/2018
14
40 nm
15
Transistor/ wafer
=
Chair/ Brazil
=
6 * 106
Limits of scaling
16
Large and small transistors
• Scaling factor κ
17
Substrate doping must increase to reduce
the depletion layer thickness in source and
drain.
gate
source drain
Electron flow
Operation voltage
Gate oxide
Depletion layer
N+ N+
P
18
α = reduction of dimension/ reduction of voltage.
α = 1.75 ~ 5
Ideal shrink
• All dimensions reduce by κ
• Substrate doping for both NMOS Na, PMOS Nd
must increase by κ to keep the same depletion
layer thickness
• Power supply must reduce by κ to keep the same
electrical field across gate
• Capacity of transistor reduces by 1/ κ, but not by
1/ κ2 because gate oxide becomes thinner
• Inversion charge per transistor keeps the same
(Q=CV)
19
Ideal shrink
• Circuit delay τ is proportional to capacitance,
thus reduces by 1/ κ. Frequency f is the inverse
of τ .
• Power dissipation per transistor is due to the
charging and discharging of transistor load. P =
CV dd
2
f = Q Vdd f = i Vdd.
• Power density is the power dissipation per area,
is thus unchanged.
20
Chip frequency improvement
21
Actual shrink
• Actual shrink is non-ideal because
▫ Threshold voltage is limited by Silicon property
▫ At low Vdd, signal to noise ratio decreases
▫ At small geometry, parasitic resistance and
capacity increases.
▫ Transistors become more leaky
▫ Vds SAT=Vgs - Vth
• Therefore, Vdd cannot shrink by κ. Rather,
• α = κ / reduction of voltage.
• α = 1 ~ 5
22
VDD scaling from 1.4 um to 0.1 um
23
90 nm
α = 1
α=1.5
VDD scaling from 0.25 um to 10 nm
24
Conventional transistor
α=1.5
α=2.75
α=5
Smaller transistors => more leakage
• Gate leakage: When gate oxide becomes thinner,
high electrical field across oxide causes higher
gate tunneling current.
• Subthreshold leakage: When gate becomes
smaller faster than the Source Drain voltage
becomes smaller, field across Source/Well and
Drain increases, causing higher ionization.
• Holes created by ionization flow to substrate
causing leakage.
25
Leakage currents = gate leakage +
substrate leakage + subthreshold
leakage
26
Substrate leakage
Gate leakage
Subthreshold leakage
GIDL current
ionization
Junction
leakage
Shrink of gate oxide thickness
27
Gate tunneling current increases for
smaller transistors
28
Short channel effect
29
Short channel Long channel
DSDS
Blue region = most negative voltage, Red region = most positive voltage
Short channel effect
30
long
When channel shortens, potential drop at depletion regions
merges.
long short
Channel length
Drain Induced
Barrier Lowering
DIBL
31
Source
Drain
Gate
Substrate
V
VDS
VGS
Gate oxide surface
Gate leakage vs. subthreshold leakage
32
Gate leakage
Subthreshold leakage
Subthreshold leakage is sensitive to T
Steep subthreshold FET
33
current
Gate voltage
Threshold voltage Vth
Ideal subthreshold slope
Steep subthreshold slope
Non-ideal subthreshold slope
VDD
Steep SS FET
Subthreshold slope =
decade of current/mv
Transistor evolution
• Alumium gate before 1978
• Poly gate 1978
• Silicide gate 1984
• Lightly Doped Drain (LDD) with Oxide spacer
transistors 1990
• High k gate oxide transistors 2006
• FinFET 2009
• Nanowire transistor 2019.
34
LDD (Lightly Doped Drain or oxide
spacer) transistor
35
High k gate oxides (hafnium dioxide ,hafnium
silicate, zirconium silicate, and zirconium dioxide)
36
FinFET
37
Conventional transistor FinFET transistor
Field is applied in one direction. Field is applied in three directions.
Technology node <30 nm
Nanowire transistor
38
Field is applied in all directions.
Nanowire transistor
39
Vertical nanowire transistors
40
Nanowire transistor is also known
as gate all around (GAA) transistor.
Array of vertical nanowire transistors
41
Nanowire transistor opens up
possibility of 3D integrated circuits
42
Limits of interconnection
Thinner interconnection => higher parasitic
resistance
Thinner dielectric => higher parasitic
capacitance
43
IC going 3D, and chip level packaging
• When devices cannot be shrunk anymore, they
are going 3D.
▫ 3D in wafer processing such as 3D NAND
▫ 3D in packaging
▫ WLP allows chips using different technologies,
such as DRAM, NAND and logic processed
separately.
44
45
46
47
48
3D packaging
49
11/14/2018
50
Industry (by products)
• Memory (Samsung, SK Hynix, Micron, Sandisk,
Toshiba)
• Microprocessors and communication chips
(Intel, AMD, Samsung, ARM, Qualcom)
• Application specific chips ASIC (Broadcom,
Toshiba, Samsung, Nvidia, STM, Infineon)
• Mixed signal and analog chips (Analog Devices,
NXP, Infinion)
• Foundry (TSMC, Global Foundry, UMC, SMIC)
11/14/2018
51
Industry – (by business model)
• IDM (integrated device manufacturer) – Intel,
STM, Samsung, Toshiba, Micron, SK Hynix
• Fabless design houses – Nvidia, Qualcom,
Broadcom
• Foundry – TSMC, UMC, Global Foundry, SMIC
Design
(fabless company)
Manufacturing
(foundry)
Design and Manufacturing (IDM)
11/14/2018
52
Top 8 semiconductor companies 2017
11/14/2018
53
1) Intel. Sales: $56.31 billion (IDM)
2) Samsung. Sales: $43.54 billion. .(IDM)
3) Taiwan Semiconductor Manufacturing
Company. Sales: $29.32 billion. (Foundry)
4) Qualcomm. Sales: $15.44 billion. .(Design)
5) Broadcom. Sales: $15.33 billion. ...(Design)
6) SK Hynix. Sales: $14.23 billion. ...(IDM)
7) Micron Technology. Sales: $12.84 billion.(IDM)
8) Texas Instruments. Sales: $12.35 billion (IDM).
Worldwide semiconductor market
11/14/2018
54
Wafer fab
• Wafer fab is a highly specialized factory
• Cleanroom –
▫ temperature,
▫ humidity,
▫ vibration,
▫ particle,
▫ air flow,
▫ electrostatic charge,
▫ electromagnetic wave
11/14/2018
55
Utilities
• Power - power substation (80KVA), power
distribution, emergency power, backup power,
• Water (300CMH) - DI water, cooling water,
• Bulk gases -N2, O2, compressed air
• Specialty gases, natural gas
• Chemicals,
• Exhaust piping, exhaust treatment,
• Liquid waste drain, waste treatment plant
• Factory automation (MES), AMHS, equipment
automation, data automation
56
Fab automation
• Equipment automation..
▫ Allows each equipment to operate by itself at a
push of bottom
▫ Collects operation data
• Factory automation
▫ Wafer transport system
▫ Manufacturing execution system
• Data automation
▫ Collect equipment data, operation data
▫ Monitor and analyze data in real time
57
58
59
11/14/2018
60
11/14/2018
61
11/14/2018
62
Cooling water system for cleanroom
11/14/2018
63
Power panels for equipment
11/14/2018
64
Exhaust system
11/14/2018
65
11/14/2018
66
Nitrogen plant
Principle of cleanroom
• Cleanroom controls temperature, humidity,
vibration and particle
• Temperature, particle, humidity are controlled
by
▫ Take outside air
▫ Cools down to condense vapor
▫ Heats up to desired temperature 21C
▫ Inject vapor to desired humidity 45%
▫ Filter through HEPA filter
▫ Create laminar flow
67
68
11/14/2018
69
Cleanroom waffle floor
Under construction
11/14/2018
70
Cleanroom ready for
equipment
installation
11/14/2018
71
Len
11/14/2018
72
Yellow light room for photolithography
11/14/2018
73
An implanter under
installation
11/14/2018
74
An implanter installed
11/14/2018
75
Photolithography room
11/14/2018
76
stocker
11/14/2018
77
Intra bay AMHS
Interbay AMHS
stockers
Typical wafer fab layout
equipment bay
11/14/2018
78
Interbay AMHS
11/14/2018
79
Intrabay AMHS
11/14/2018
80
stocker
11/14/2018
81
Cost
 Manufacturing cost - wafer
▫ Substrate cost (10%)
▫ Equipment depreciation cost (50%)
▫ Labor cost (8%)
▫ Materials cost (13%)
▫ Equipment maintenance cost (13%)
▫ Utility cost (6%)
Manufacturing cost – packaging and testing
 R&D cost
o Process development
o Product design
Marketing/ sales cost
Administration cost
Financial cost
11/14/2018
82
Largest variation of cost - yield
Yield = number of good chips / total chips on a
wafer
Yield loss can happen at every step of
manufacturing
▫ In-line – scrap, rework
▫ DC parameter test – downgrade
▫ Wafer sort - probe yield
▫ Packaging yield loss
▫ Burn-in yield loss
▫ Final test yield loss
11/14/2018
83
Yield loss
• Defects (systematic and random)
• Process deviation
• Mis-operation
• Bad quality materials
• Utility problems
• Process windows vs design windows
• Capability of equipment
11/14/2018
84
Racing against time – new technology
introduction
11/14/2018
85
• When new technology is introduced, yield is low,
therefore, cost is high
• When yield is improved, cost is reduced
• However, the price also drops
• The cost has to be reduced faster than the price
drops in order to guarantee a window of profit
Window of profit for product life
86
cost
price
time
Technology drives demand
11/14/2018
87
Technology drives demand
11/14/2018
88
Microprocessor and memory speed
Cost of wafer fab vs. market size
Cost of a typical fab $500 m
Total worldwide market $120 b
Ratio of 240 to 1
Cost of a typical fab $10 b
Total worldwide market $430 b
Ratio of 43 to 1
11/14/2018
89
Cost goes up x6 faster than sales
• In 18 years, the market size only doubled, but
the cost of factory increase by 12 times
• That means cost increases 6 times faster than
sales
▫ 193 nm scanner costs $60 m each and EUV
scanner costs >$120 m
▫ Number of process steps increase from 200 to 700
=> more labor, more materials, more utilities
▫ Sales price drops (such as computer/ TV/ cell
phone/ digital camera)
11/14/2018
90
The rise of foundry business model
• Before 1990, semiconductor industry was
dominated by IDM (Integrated device
manufacturer).
• After 2000, semiconductor industry is
dominated by design houses and foundry
(except for memory).
• Even design houses are becoming capital
intensive. A mask set for 20 nm chip costs >$1
million
91
Consolidation has happened
• Each generation of technology costs $500 m to
develop
• Technology becomes obsolete quickly. New
technology means better performance, lower
cost.
• Any company less than $10 b can neither afford
to build a new fab or to develop new technology
(8 semiconductor companies > $12 b)
• Trend is for consolidation.
11/14/2018
92
Return on investment is not
guaranteed
• However, the investment (R&D and factory)
does not guarantee returns because
▫ Technology development may not be successful
▫ Margin is ultra thin
▫ The market size is barely sufficient to allow top 2
companies in each business area to be profitable
11/14/2018
93
NAND market
• NAND is the key component of data storage.
• Data storage is the corner stone of data center.
• Data center drives cloud computing, internet,
Big Data, blockchain, Fintech and artificial
intelligence, and is the most important
infrastructure of 21st century.
• The country which controls NAND is like the
country which controls petroleum in 20th
century.
94
What is the future
• End of technology roadmap is in sight. In a
decade, transistor will be only few atoms big.
• Market will be driven by applications rather than
semiconductor technology.
• Applications like 5G, Big Data, cloud computing,
artificial intelligence, blockchain will drive
demand
• In the past, the leader is the company that can
evolve new technology sooner than others
• In the future, the leader is the company that can
have the most efficient production
11/14/2018
95
Evolution of business model
96
Chip design
and sales
Chip
foundry
System
integration
System house
Design house
Fab
Chip design
and sales
In house fab
System
integration
Chip design
and sales
Chip
foundry
System
integration
PAST NOW FUTURE
Summary
• By 2025, semiconductor industry will face the
end of technology development and Moore’s law
will breakdown
• By then, semiconductor industry can only grow
with diversified applications rather than higher
performance.
11/14/2018
97

More Related Content

What's hot

Lect10_Analog Layout and Process Concern
Lect10_Analog Layout and Process ConcernLect10_Analog Layout and Process Concern
Lect10_Analog Layout and Process Concernvein
 
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
VLSI SYSTEM Design
 
Matching concept in Microelectronics
Matching concept in MicroelectronicsMatching concept in Microelectronics
Matching concept in Microelectronics
Tallinn University of Technology
 
Semiconductor Industry
Semiconductor IndustrySemiconductor Industry
Semiconductor IndustryRavi Vishnu
 
Digital VLSI Design : Introduction
Digital VLSI Design : IntroductionDigital VLSI Design : Introduction
Digital VLSI Design : Introduction
Usha Mehta
 
Analog Layout and Process Concern
Analog Layout and Process ConcernAnalog Layout and Process Concern
Analog Layout and Process Concernasinghsaroj
 
Analog Layout design
Analog Layout design Analog Layout design
Analog Layout design
slpinjare
 
Advanced MOSFET
Advanced MOSFETAdvanced MOSFET
Layout02 (1)
Layout02 (1)Layout02 (1)
Layout02 (1)
venkat1234_nxp
 
VLSI
VLSI VLSI
VLSI
So Ma
 
EMIR.pdf
EMIR.pdfEMIR.pdf
Double patterning for 32nm and beyond
Double patterning for 32nm and beyondDouble patterning for 32nm and beyond
Double patterning for 32nm and beyond
Manikandan Sampathkumar
 
finfet tsmc.pdf
finfet tsmc.pdffinfet tsmc.pdf
finfet tsmc.pdf
MANSISHEKHAWAT3
 
Powerplanning
PowerplanningPowerplanning
Powerplanning
VLSI SYSTEM Design
 
Ic tech unit 5- VLSI Process Integration
Ic tech unit 5- VLSI Process IntegrationIc tech unit 5- VLSI Process Integration
Ic tech unit 5- VLSI Process Integration
kriticka sharma
 
Vlsi
VlsiVlsi
VLSI Design(Fabrication)
VLSI Design(Fabrication)VLSI Design(Fabrication)
VLSI Design(Fabrication)
Trijit Mallick
 
Analog Mixed-Signal Design in FinFET Processes
Analog Mixed-Signal Design in FinFET Processes Analog Mixed-Signal Design in FinFET Processes
Analog Mixed-Signal Design in FinFET Processes
Design World
 

What's hot (20)

Lect10_Analog Layout and Process Concern
Lect10_Analog Layout and Process ConcernLect10_Analog Layout and Process Concern
Lect10_Analog Layout and Process Concern
 
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
Define Width and Height of Core and Die (http://www.vlsisystemdesign.com/PD-F...
 
Matching concept in Microelectronics
Matching concept in MicroelectronicsMatching concept in Microelectronics
Matching concept in Microelectronics
 
Semiconductor Industry
Semiconductor IndustrySemiconductor Industry
Semiconductor Industry
 
Digital VLSI Design : Introduction
Digital VLSI Design : IntroductionDigital VLSI Design : Introduction
Digital VLSI Design : Introduction
 
Analog Layout and Process Concern
Analog Layout and Process ConcernAnalog Layout and Process Concern
Analog Layout and Process Concern
 
Analog Layout design
Analog Layout design Analog Layout design
Analog Layout design
 
Advanced MOSFET
Advanced MOSFETAdvanced MOSFET
Advanced MOSFET
 
Layout02 (1)
Layout02 (1)Layout02 (1)
Layout02 (1)
 
VLSI
VLSI VLSI
VLSI
 
EMIR.pdf
EMIR.pdfEMIR.pdf
EMIR.pdf
 
Double patterning for 32nm and beyond
Double patterning for 32nm and beyondDouble patterning for 32nm and beyond
Double patterning for 32nm and beyond
 
finfet tsmc.pdf
finfet tsmc.pdffinfet tsmc.pdf
finfet tsmc.pdf
 
BGR
BGRBGR
BGR
 
Powerplanning
PowerplanningPowerplanning
Powerplanning
 
Ic tech unit 5- VLSI Process Integration
Ic tech unit 5- VLSI Process IntegrationIc tech unit 5- VLSI Process Integration
Ic tech unit 5- VLSI Process Integration
 
Vlsi
VlsiVlsi
Vlsi
 
12 low power techniques
12 low power techniques12 low power techniques
12 low power techniques
 
VLSI Design(Fabrication)
VLSI Design(Fabrication)VLSI Design(Fabrication)
VLSI Design(Fabrication)
 
Analog Mixed-Signal Design in FinFET Processes
Analog Mixed-Signal Design in FinFET Processes Analog Mixed-Signal Design in FinFET Processes
Analog Mixed-Signal Design in FinFET Processes
 

Similar to A view of semiconductor industry

basic vlsi ppt
basic vlsi pptbasic vlsi ppt
basic vlsi ppt
Sidduzalaki143
 
IC Technology
IC Technology IC Technology
IC Technology
sdpable
 
Ic Technology
Ic Technology Ic Technology
Ic Technology
sdpable
 
Presentationvlsi converted
Presentationvlsi convertedPresentationvlsi converted
Presentationvlsi converted
vijayakumar sivaji
 
1549501456Lecture-1.pptx
1549501456Lecture-1.pptx1549501456Lecture-1.pptx
1549501456Lecture-1.pptx
SahdevChandraSwarnak
 
VLSI FUNDAMENTALS--ABU SYED KUET
VLSI FUNDAMENTALS--ABU SYED KUETVLSI FUNDAMENTALS--ABU SYED KUET
VLSI FUNDAMENTALS--ABU SYED KUET
A. S. M. Jannatul Islam
 
22nm tri-gate technology
22nm tri-gate technology22nm tri-gate technology
22nm tri-gate technology
Arjun Challu
 
VLSI Technology Trends
VLSI Technology TrendsVLSI Technology Trends
VLSI Technology Trends
Usha Mehta
 
Unit_1_L1_LPVLSI.ppt
Unit_1_L1_LPVLSI.pptUnit_1_L1_LPVLSI.ppt
Unit_1_L1_LPVLSI.ppt
Ravi Selvaraj
 
Gatestackdesign updated
Gatestackdesign updatedGatestackdesign updated
Gatestackdesign updatedsoreciety
 
Electronic manufacturing and the integrated circuit
Electronic manufacturing and the integrated circuitElectronic manufacturing and the integrated circuit
Electronic manufacturing and the integrated circuit
BenediktusMadika1
 
brunton_et_al_innolae_2016_1_for_linkedin
brunton_et_al_innolae_2016_1_for_linkedinbrunton_et_al_innolae_2016_1_for_linkedin
brunton_et_al_innolae_2016_1_for_linkedinAdam Brunton
 
lecture_10.ppt
lecture_10.pptlecture_10.ppt
lecture_10.ppt
ssuser0132001
 
Cnt vacuum electronics 9 may 09
Cnt vacuum electronics  9 may 09Cnt vacuum electronics  9 may 09
Cnt vacuum electronics 9 may 09
Danilo Mascolo
 
INTRODUCTION_TO_IC
INTRODUCTION_TO_ICINTRODUCTION_TO_IC
INTRODUCTION_TO_IC
Ikhwan_Fakrudin
 
Rm.naatchammai vlsi paper ppt
Rm.naatchammai vlsi paper pptRm.naatchammai vlsi paper ppt
Rm.naatchammai vlsi paper ppt
Naatchammai Ramanathan
 
Rm.naatchammai vlsi paper ppt
Rm.naatchammai vlsi paper pptRm.naatchammai vlsi paper ppt
Rm.naatchammai vlsi paper ppt
Naatchammai Ramanathan
 

Similar to A view of semiconductor industry (20)

basic vlsi ppt
basic vlsi pptbasic vlsi ppt
basic vlsi ppt
 
IC Technology
IC Technology IC Technology
IC Technology
 
Ic Technology
Ic Technology Ic Technology
Ic Technology
 
Presentationvlsi converted
Presentationvlsi convertedPresentationvlsi converted
Presentationvlsi converted
 
1549501456Lecture-1.pptx
1549501456Lecture-1.pptx1549501456Lecture-1.pptx
1549501456Lecture-1.pptx
 
VLSI FUNDAMENTALS--ABU SYED KUET
VLSI FUNDAMENTALS--ABU SYED KUETVLSI FUNDAMENTALS--ABU SYED KUET
VLSI FUNDAMENTALS--ABU SYED KUET
 
22nm tri-gate technology
22nm tri-gate technology22nm tri-gate technology
22nm tri-gate technology
 
c4interc
c4intercc4interc
c4interc
 
3d ic
3d ic3d ic
3d ic
 
VLSI Technology Trends
VLSI Technology TrendsVLSI Technology Trends
VLSI Technology Trends
 
Unit_1_L1_LPVLSI.ppt
Unit_1_L1_LPVLSI.pptUnit_1_L1_LPVLSI.ppt
Unit_1_L1_LPVLSI.ppt
 
104 Micheli
104 Micheli104 Micheli
104 Micheli
 
Gatestackdesign updated
Gatestackdesign updatedGatestackdesign updated
Gatestackdesign updated
 
Electronic manufacturing and the integrated circuit
Electronic manufacturing and the integrated circuitElectronic manufacturing and the integrated circuit
Electronic manufacturing and the integrated circuit
 
brunton_et_al_innolae_2016_1_for_linkedin
brunton_et_al_innolae_2016_1_for_linkedinbrunton_et_al_innolae_2016_1_for_linkedin
brunton_et_al_innolae_2016_1_for_linkedin
 
lecture_10.ppt
lecture_10.pptlecture_10.ppt
lecture_10.ppt
 
Cnt vacuum electronics 9 may 09
Cnt vacuum electronics  9 may 09Cnt vacuum electronics  9 may 09
Cnt vacuum electronics 9 may 09
 
INTRODUCTION_TO_IC
INTRODUCTION_TO_ICINTRODUCTION_TO_IC
INTRODUCTION_TO_IC
 
Rm.naatchammai vlsi paper ppt
Rm.naatchammai vlsi paper pptRm.naatchammai vlsi paper ppt
Rm.naatchammai vlsi paper ppt
 
Rm.naatchammai vlsi paper ppt
Rm.naatchammai vlsi paper pptRm.naatchammai vlsi paper ppt
Rm.naatchammai vlsi paper ppt
 

More from Len Mei

Artificial inteligence, its evolution and potential singularity event
Artificial inteligence, its evolution and potential singularity eventArtificial inteligence, its evolution and potential singularity event
Artificial inteligence, its evolution and potential singularity event
Len Mei
 
Essences of semiconductor manufacturing 2024.pdf
Essences of semiconductor manufacturing 2024.pdfEssences of semiconductor manufacturing 2024.pdf
Essences of semiconductor manufacturing 2024.pdf
Len Mei
 
A recipe for the economic development in Brazil.pdf
A recipe for the economic development in Brazil.pdfA recipe for the economic development in Brazil.pdf
A recipe for the economic development in Brazil.pdf
Len Mei
 
Advanced lithographic technologies
Advanced lithographic technologiesAdvanced lithographic technologies
Advanced lithographic technologies
Len Mei
 
The digital economy
The digital economyThe digital economy
The digital economy
Len Mei
 
Digital economy
Digital economyDigital economy
Digital economy
Len Mei
 
Bitcoin & Blockchain
Bitcoin & Blockchain Bitcoin & Blockchain
Bitcoin & Blockchain
Len Mei
 
Artificial intelligence
Artificial intelligenceArtificial intelligence
Artificial intelligence
Len Mei
 
Yield enhancement
Yield enhancementYield enhancement
Yield enhancement
Len Mei
 
Manufacturing operation
Manufacturing operationManufacturing operation
Manufacturing operation
Len Mei
 

More from Len Mei (10)

Artificial inteligence, its evolution and potential singularity event
Artificial inteligence, its evolution and potential singularity eventArtificial inteligence, its evolution and potential singularity event
Artificial inteligence, its evolution and potential singularity event
 
Essences of semiconductor manufacturing 2024.pdf
Essences of semiconductor manufacturing 2024.pdfEssences of semiconductor manufacturing 2024.pdf
Essences of semiconductor manufacturing 2024.pdf
 
A recipe for the economic development in Brazil.pdf
A recipe for the economic development in Brazil.pdfA recipe for the economic development in Brazil.pdf
A recipe for the economic development in Brazil.pdf
 
Advanced lithographic technologies
Advanced lithographic technologiesAdvanced lithographic technologies
Advanced lithographic technologies
 
The digital economy
The digital economyThe digital economy
The digital economy
 
Digital economy
Digital economyDigital economy
Digital economy
 
Bitcoin & Blockchain
Bitcoin & Blockchain Bitcoin & Blockchain
Bitcoin & Blockchain
 
Artificial intelligence
Artificial intelligenceArtificial intelligence
Artificial intelligence
 
Yield enhancement
Yield enhancementYield enhancement
Yield enhancement
 
Manufacturing operation
Manufacturing operationManufacturing operation
Manufacturing operation
 

Recently uploaded

The Future of Platform Engineering
The Future of Platform EngineeringThe Future of Platform Engineering
The Future of Platform Engineering
Jemma Hussein Allen
 
AI for Every Business: Unlocking Your Product's Universal Potential by VP of ...
AI for Every Business: Unlocking Your Product's Universal Potential by VP of ...AI for Every Business: Unlocking Your Product's Universal Potential by VP of ...
AI for Every Business: Unlocking Your Product's Universal Potential by VP of ...
Product School
 
Transcript: Selling digital books in 2024: Insights from industry leaders - T...
Transcript: Selling digital books in 2024: Insights from industry leaders - T...Transcript: Selling digital books in 2024: Insights from industry leaders - T...
Transcript: Selling digital books in 2024: Insights from industry leaders - T...
BookNet Canada
 
FIDO Alliance Osaka Seminar: Passkeys and the Road Ahead.pdf
FIDO Alliance Osaka Seminar: Passkeys and the Road Ahead.pdfFIDO Alliance Osaka Seminar: Passkeys and the Road Ahead.pdf
FIDO Alliance Osaka Seminar: Passkeys and the Road Ahead.pdf
FIDO Alliance
 
Unsubscribed: Combat Subscription Fatigue With a Membership Mentality by Head...
Unsubscribed: Combat Subscription Fatigue With a Membership Mentality by Head...Unsubscribed: Combat Subscription Fatigue With a Membership Mentality by Head...
Unsubscribed: Combat Subscription Fatigue With a Membership Mentality by Head...
Product School
 
State of ICS and IoT Cyber Threat Landscape Report 2024 preview
State of ICS and IoT Cyber Threat Landscape Report 2024 previewState of ICS and IoT Cyber Threat Landscape Report 2024 preview
State of ICS and IoT Cyber Threat Landscape Report 2024 preview
Prayukth K V
 
FIDO Alliance Osaka Seminar: Overview.pdf
FIDO Alliance Osaka Seminar: Overview.pdfFIDO Alliance Osaka Seminar: Overview.pdf
FIDO Alliance Osaka Seminar: Overview.pdf
FIDO Alliance
 
Smart TV Buyer Insights Survey 2024 by 91mobiles.pdf
Smart TV Buyer Insights Survey 2024 by 91mobiles.pdfSmart TV Buyer Insights Survey 2024 by 91mobiles.pdf
Smart TV Buyer Insights Survey 2024 by 91mobiles.pdf
91mobiles
 
Connector Corner: Automate dynamic content and events by pushing a button
Connector Corner: Automate dynamic content and events by pushing a buttonConnector Corner: Automate dynamic content and events by pushing a button
Connector Corner: Automate dynamic content and events by pushing a button
DianaGray10
 
Empowering NextGen Mobility via Large Action Model Infrastructure (LAMI): pav...
Empowering NextGen Mobility via Large Action Model Infrastructure (LAMI): pav...Empowering NextGen Mobility via Large Action Model Infrastructure (LAMI): pav...
Empowering NextGen Mobility via Large Action Model Infrastructure (LAMI): pav...
Thierry Lestable
 
UiPath Test Automation using UiPath Test Suite series, part 4
UiPath Test Automation using UiPath Test Suite series, part 4UiPath Test Automation using UiPath Test Suite series, part 4
UiPath Test Automation using UiPath Test Suite series, part 4
DianaGray10
 
Monitoring Java Application Security with JDK Tools and JFR Events
Monitoring Java Application Security with JDK Tools and JFR EventsMonitoring Java Application Security with JDK Tools and JFR Events
Monitoring Java Application Security with JDK Tools and JFR Events
Ana-Maria Mihalceanu
 
Kubernetes & AI - Beauty and the Beast !?! @KCD Istanbul 2024
Kubernetes & AI - Beauty and the Beast !?! @KCD Istanbul 2024Kubernetes & AI - Beauty and the Beast !?! @KCD Istanbul 2024
Kubernetes & AI - Beauty and the Beast !?! @KCD Istanbul 2024
Tobias Schneck
 
Mission to Decommission: Importance of Decommissioning Products to Increase E...
Mission to Decommission: Importance of Decommissioning Products to Increase E...Mission to Decommission: Importance of Decommissioning Products to Increase E...
Mission to Decommission: Importance of Decommissioning Products to Increase E...
Product School
 
Elevating Tactical DDD Patterns Through Object Calisthenics
Elevating Tactical DDD Patterns Through Object CalisthenicsElevating Tactical DDD Patterns Through Object Calisthenics
Elevating Tactical DDD Patterns Through Object Calisthenics
Dorra BARTAGUIZ
 
To Graph or Not to Graph Knowledge Graph Architectures and LLMs
To Graph or Not to Graph Knowledge Graph Architectures and LLMsTo Graph or Not to Graph Knowledge Graph Architectures and LLMs
To Graph or Not to Graph Knowledge Graph Architectures and LLMs
Paul Groth
 
How world-class product teams are winning in the AI era by CEO and Founder, P...
How world-class product teams are winning in the AI era by CEO and Founder, P...How world-class product teams are winning in the AI era by CEO and Founder, P...
How world-class product teams are winning in the AI era by CEO and Founder, P...
Product School
 
GDG Cloud Southlake #33: Boule & Rebala: Effective AppSec in SDLC using Deplo...
GDG Cloud Southlake #33: Boule & Rebala: Effective AppSec in SDLC using Deplo...GDG Cloud Southlake #33: Boule & Rebala: Effective AppSec in SDLC using Deplo...
GDG Cloud Southlake #33: Boule & Rebala: Effective AppSec in SDLC using Deplo...
James Anderson
 
Software Delivery At the Speed of AI: Inflectra Invests In AI-Powered Quality
Software Delivery At the Speed of AI: Inflectra Invests In AI-Powered QualitySoftware Delivery At the Speed of AI: Inflectra Invests In AI-Powered Quality
Software Delivery At the Speed of AI: Inflectra Invests In AI-Powered Quality
Inflectra
 
Key Trends Shaping the Future of Infrastructure.pdf
Key Trends Shaping the Future of Infrastructure.pdfKey Trends Shaping the Future of Infrastructure.pdf
Key Trends Shaping the Future of Infrastructure.pdf
Cheryl Hung
 

Recently uploaded (20)

The Future of Platform Engineering
The Future of Platform EngineeringThe Future of Platform Engineering
The Future of Platform Engineering
 
AI for Every Business: Unlocking Your Product's Universal Potential by VP of ...
AI for Every Business: Unlocking Your Product's Universal Potential by VP of ...AI for Every Business: Unlocking Your Product's Universal Potential by VP of ...
AI for Every Business: Unlocking Your Product's Universal Potential by VP of ...
 
Transcript: Selling digital books in 2024: Insights from industry leaders - T...
Transcript: Selling digital books in 2024: Insights from industry leaders - T...Transcript: Selling digital books in 2024: Insights from industry leaders - T...
Transcript: Selling digital books in 2024: Insights from industry leaders - T...
 
FIDO Alliance Osaka Seminar: Passkeys and the Road Ahead.pdf
FIDO Alliance Osaka Seminar: Passkeys and the Road Ahead.pdfFIDO Alliance Osaka Seminar: Passkeys and the Road Ahead.pdf
FIDO Alliance Osaka Seminar: Passkeys and the Road Ahead.pdf
 
Unsubscribed: Combat Subscription Fatigue With a Membership Mentality by Head...
Unsubscribed: Combat Subscription Fatigue With a Membership Mentality by Head...Unsubscribed: Combat Subscription Fatigue With a Membership Mentality by Head...
Unsubscribed: Combat Subscription Fatigue With a Membership Mentality by Head...
 
State of ICS and IoT Cyber Threat Landscape Report 2024 preview
State of ICS and IoT Cyber Threat Landscape Report 2024 previewState of ICS and IoT Cyber Threat Landscape Report 2024 preview
State of ICS and IoT Cyber Threat Landscape Report 2024 preview
 
FIDO Alliance Osaka Seminar: Overview.pdf
FIDO Alliance Osaka Seminar: Overview.pdfFIDO Alliance Osaka Seminar: Overview.pdf
FIDO Alliance Osaka Seminar: Overview.pdf
 
Smart TV Buyer Insights Survey 2024 by 91mobiles.pdf
Smart TV Buyer Insights Survey 2024 by 91mobiles.pdfSmart TV Buyer Insights Survey 2024 by 91mobiles.pdf
Smart TV Buyer Insights Survey 2024 by 91mobiles.pdf
 
Connector Corner: Automate dynamic content and events by pushing a button
Connector Corner: Automate dynamic content and events by pushing a buttonConnector Corner: Automate dynamic content and events by pushing a button
Connector Corner: Automate dynamic content and events by pushing a button
 
Empowering NextGen Mobility via Large Action Model Infrastructure (LAMI): pav...
Empowering NextGen Mobility via Large Action Model Infrastructure (LAMI): pav...Empowering NextGen Mobility via Large Action Model Infrastructure (LAMI): pav...
Empowering NextGen Mobility via Large Action Model Infrastructure (LAMI): pav...
 
UiPath Test Automation using UiPath Test Suite series, part 4
UiPath Test Automation using UiPath Test Suite series, part 4UiPath Test Automation using UiPath Test Suite series, part 4
UiPath Test Automation using UiPath Test Suite series, part 4
 
Monitoring Java Application Security with JDK Tools and JFR Events
Monitoring Java Application Security with JDK Tools and JFR EventsMonitoring Java Application Security with JDK Tools and JFR Events
Monitoring Java Application Security with JDK Tools and JFR Events
 
Kubernetes & AI - Beauty and the Beast !?! @KCD Istanbul 2024
Kubernetes & AI - Beauty and the Beast !?! @KCD Istanbul 2024Kubernetes & AI - Beauty and the Beast !?! @KCD Istanbul 2024
Kubernetes & AI - Beauty and the Beast !?! @KCD Istanbul 2024
 
Mission to Decommission: Importance of Decommissioning Products to Increase E...
Mission to Decommission: Importance of Decommissioning Products to Increase E...Mission to Decommission: Importance of Decommissioning Products to Increase E...
Mission to Decommission: Importance of Decommissioning Products to Increase E...
 
Elevating Tactical DDD Patterns Through Object Calisthenics
Elevating Tactical DDD Patterns Through Object CalisthenicsElevating Tactical DDD Patterns Through Object Calisthenics
Elevating Tactical DDD Patterns Through Object Calisthenics
 
To Graph or Not to Graph Knowledge Graph Architectures and LLMs
To Graph or Not to Graph Knowledge Graph Architectures and LLMsTo Graph or Not to Graph Knowledge Graph Architectures and LLMs
To Graph or Not to Graph Knowledge Graph Architectures and LLMs
 
How world-class product teams are winning in the AI era by CEO and Founder, P...
How world-class product teams are winning in the AI era by CEO and Founder, P...How world-class product teams are winning in the AI era by CEO and Founder, P...
How world-class product teams are winning in the AI era by CEO and Founder, P...
 
GDG Cloud Southlake #33: Boule & Rebala: Effective AppSec in SDLC using Deplo...
GDG Cloud Southlake #33: Boule & Rebala: Effective AppSec in SDLC using Deplo...GDG Cloud Southlake #33: Boule & Rebala: Effective AppSec in SDLC using Deplo...
GDG Cloud Southlake #33: Boule & Rebala: Effective AppSec in SDLC using Deplo...
 
Software Delivery At the Speed of AI: Inflectra Invests In AI-Powered Quality
Software Delivery At the Speed of AI: Inflectra Invests In AI-Powered QualitySoftware Delivery At the Speed of AI: Inflectra Invests In AI-Powered Quality
Software Delivery At the Speed of AI: Inflectra Invests In AI-Powered Quality
 
Key Trends Shaping the Future of Infrastructure.pdf
Key Trends Shaping the Future of Infrastructure.pdfKey Trends Shaping the Future of Infrastructure.pdf
Key Trends Shaping the Future of Infrastructure.pdf
 

A view of semiconductor industry

  • 1. An Overview of Semiconductor technology & Industry Dr. Len Mei 2018/11/14 1
  • 2. Agenda • Technology • Industry and Factory • Economics 11/14/2018 2
  • 4. How technology started On Dec.,23,1947, John Bardeen and Walter Brattain demonstrated a point contact transistor at Bell Lab On July 4, 1951, William Shockley built and demonstrated a junction transistor Three of them won 1956 Nobel prize of Physics 4
  • 5. Kilby’s integrated circuit 1958  On September 12, 1958 Jack Kilby at Texas Instrument put two transistors on the same Silicon.  Integrated circuit was born (2000 Nobel prize of Physics) 5
  • 6. Moore’s Law • Moore’s Law: Gordon Moore, founder of Intel, predicted that the number of transistors on integrated circuit could double every two years. • Semiconductor industry today is a $460 billion industry. • Semiconductor is the foundation of trillion dollars electronics industry 6
  • 7. Number of transistors per area copy right by Dr. Len Mei 2017 7
  • 8. Transistor count increases because it becomes smaller… 8 In 2018, the most advanced IC production is using 7 nm technology.
  • 10. 11/14/2018 10 Intel 4004 – 2,300 transistors – 10 um Intel’s integrated circuit 1970 The industry of IC was born.
  • 11. 11/14/2018 11 Intel 10 core xeon westmere – 2 billion transistors – 32 nm 2018 A12, Kirin 980 are made at TSMC Snapdragon is made at Samsung 7 nm, 6.9 b transistors 7 nm 10 nm, 5.3 b transistors 7 nm, 6.9 b transistors Integrated circuits 2018
  • 12. Cross section of an Integrated Circuit transistor Minimum feature size 11/14/2018 12
  • 13. 3D view of integrated circuit Oxide removed Silicon substrate Poly silicon Metal conductor 11/14/2018 13
  • 17. Large and small transistors • Scaling factor κ 17 Substrate doping must increase to reduce the depletion layer thickness in source and drain. gate source drain Electron flow Operation voltage Gate oxide Depletion layer N+ N+ P
  • 18. 18 α = reduction of dimension/ reduction of voltage. α = 1.75 ~ 5
  • 19. Ideal shrink • All dimensions reduce by κ • Substrate doping for both NMOS Na, PMOS Nd must increase by κ to keep the same depletion layer thickness • Power supply must reduce by κ to keep the same electrical field across gate • Capacity of transistor reduces by 1/ κ, but not by 1/ κ2 because gate oxide becomes thinner • Inversion charge per transistor keeps the same (Q=CV) 19
  • 20. Ideal shrink • Circuit delay τ is proportional to capacitance, thus reduces by 1/ κ. Frequency f is the inverse of τ . • Power dissipation per transistor is due to the charging and discharging of transistor load. P = CV dd 2 f = Q Vdd f = i Vdd. • Power density is the power dissipation per area, is thus unchanged. 20
  • 22. Actual shrink • Actual shrink is non-ideal because ▫ Threshold voltage is limited by Silicon property ▫ At low Vdd, signal to noise ratio decreases ▫ At small geometry, parasitic resistance and capacity increases. ▫ Transistors become more leaky ▫ Vds SAT=Vgs - Vth • Therefore, Vdd cannot shrink by κ. Rather, • α = κ / reduction of voltage. • α = 1 ~ 5 22
  • 23. VDD scaling from 1.4 um to 0.1 um 23 90 nm α = 1 α=1.5
  • 24. VDD scaling from 0.25 um to 10 nm 24 Conventional transistor α=1.5 α=2.75 α=5
  • 25. Smaller transistors => more leakage • Gate leakage: When gate oxide becomes thinner, high electrical field across oxide causes higher gate tunneling current. • Subthreshold leakage: When gate becomes smaller faster than the Source Drain voltage becomes smaller, field across Source/Well and Drain increases, causing higher ionization. • Holes created by ionization flow to substrate causing leakage. 25
  • 26. Leakage currents = gate leakage + substrate leakage + subthreshold leakage 26 Substrate leakage Gate leakage Subthreshold leakage GIDL current ionization Junction leakage
  • 27. Shrink of gate oxide thickness 27
  • 28. Gate tunneling current increases for smaller transistors 28
  • 29. Short channel effect 29 Short channel Long channel DSDS Blue region = most negative voltage, Red region = most positive voltage
  • 30. Short channel effect 30 long When channel shortens, potential drop at depletion regions merges. long short Channel length Drain Induced Barrier Lowering DIBL
  • 32. Gate leakage vs. subthreshold leakage 32 Gate leakage Subthreshold leakage Subthreshold leakage is sensitive to T
  • 33. Steep subthreshold FET 33 current Gate voltage Threshold voltage Vth Ideal subthreshold slope Steep subthreshold slope Non-ideal subthreshold slope VDD Steep SS FET Subthreshold slope = decade of current/mv
  • 34. Transistor evolution • Alumium gate before 1978 • Poly gate 1978 • Silicide gate 1984 • Lightly Doped Drain (LDD) with Oxide spacer transistors 1990 • High k gate oxide transistors 2006 • FinFET 2009 • Nanowire transistor 2019. 34
  • 35. LDD (Lightly Doped Drain or oxide spacer) transistor 35
  • 36. High k gate oxides (hafnium dioxide ,hafnium silicate, zirconium silicate, and zirconium dioxide) 36
  • 37. FinFET 37 Conventional transistor FinFET transistor Field is applied in one direction. Field is applied in three directions. Technology node <30 nm
  • 38. Nanowire transistor 38 Field is applied in all directions.
  • 40. Vertical nanowire transistors 40 Nanowire transistor is also known as gate all around (GAA) transistor.
  • 41. Array of vertical nanowire transistors 41
  • 42. Nanowire transistor opens up possibility of 3D integrated circuits 42
  • 43. Limits of interconnection Thinner interconnection => higher parasitic resistance Thinner dielectric => higher parasitic capacitance 43
  • 44. IC going 3D, and chip level packaging • When devices cannot be shrunk anymore, they are going 3D. ▫ 3D in wafer processing such as 3D NAND ▫ 3D in packaging ▫ WLP allows chips using different technologies, such as DRAM, NAND and logic processed separately. 44
  • 45. 45
  • 46. 46
  • 47. 47
  • 48. 48
  • 51. Industry (by products) • Memory (Samsung, SK Hynix, Micron, Sandisk, Toshiba) • Microprocessors and communication chips (Intel, AMD, Samsung, ARM, Qualcom) • Application specific chips ASIC (Broadcom, Toshiba, Samsung, Nvidia, STM, Infineon) • Mixed signal and analog chips (Analog Devices, NXP, Infinion) • Foundry (TSMC, Global Foundry, UMC, SMIC) 11/14/2018 51
  • 52. Industry – (by business model) • IDM (integrated device manufacturer) – Intel, STM, Samsung, Toshiba, Micron, SK Hynix • Fabless design houses – Nvidia, Qualcom, Broadcom • Foundry – TSMC, UMC, Global Foundry, SMIC Design (fabless company) Manufacturing (foundry) Design and Manufacturing (IDM) 11/14/2018 52
  • 53. Top 8 semiconductor companies 2017 11/14/2018 53 1) Intel. Sales: $56.31 billion (IDM) 2) Samsung. Sales: $43.54 billion. .(IDM) 3) Taiwan Semiconductor Manufacturing Company. Sales: $29.32 billion. (Foundry) 4) Qualcomm. Sales: $15.44 billion. .(Design) 5) Broadcom. Sales: $15.33 billion. ...(Design) 6) SK Hynix. Sales: $14.23 billion. ...(IDM) 7) Micron Technology. Sales: $12.84 billion.(IDM) 8) Texas Instruments. Sales: $12.35 billion (IDM).
  • 55. Wafer fab • Wafer fab is a highly specialized factory • Cleanroom – ▫ temperature, ▫ humidity, ▫ vibration, ▫ particle, ▫ air flow, ▫ electrostatic charge, ▫ electromagnetic wave 11/14/2018 55
  • 56. Utilities • Power - power substation (80KVA), power distribution, emergency power, backup power, • Water (300CMH) - DI water, cooling water, • Bulk gases -N2, O2, compressed air • Specialty gases, natural gas • Chemicals, • Exhaust piping, exhaust treatment, • Liquid waste drain, waste treatment plant • Factory automation (MES), AMHS, equipment automation, data automation 56
  • 57. Fab automation • Equipment automation.. ▫ Allows each equipment to operate by itself at a push of bottom ▫ Collects operation data • Factory automation ▫ Wafer transport system ▫ Manufacturing execution system • Data automation ▫ Collect equipment data, operation data ▫ Monitor and analyze data in real time 57
  • 58. 58
  • 59. 59
  • 67. Principle of cleanroom • Cleanroom controls temperature, humidity, vibration and particle • Temperature, particle, humidity are controlled by ▫ Take outside air ▫ Cools down to condense vapor ▫ Heats up to desired temperature 21C ▫ Inject vapor to desired humidity 45% ▫ Filter through HEPA filter ▫ Create laminar flow 67
  • 68. 68
  • 72. 11/14/2018 72 Yellow light room for photolithography
  • 77. 11/14/2018 77 Intra bay AMHS Interbay AMHS stockers Typical wafer fab layout equipment bay
  • 82. Cost  Manufacturing cost - wafer ▫ Substrate cost (10%) ▫ Equipment depreciation cost (50%) ▫ Labor cost (8%) ▫ Materials cost (13%) ▫ Equipment maintenance cost (13%) ▫ Utility cost (6%) Manufacturing cost – packaging and testing  R&D cost o Process development o Product design Marketing/ sales cost Administration cost Financial cost 11/14/2018 82
  • 83. Largest variation of cost - yield Yield = number of good chips / total chips on a wafer Yield loss can happen at every step of manufacturing ▫ In-line – scrap, rework ▫ DC parameter test – downgrade ▫ Wafer sort - probe yield ▫ Packaging yield loss ▫ Burn-in yield loss ▫ Final test yield loss 11/14/2018 83
  • 84. Yield loss • Defects (systematic and random) • Process deviation • Mis-operation • Bad quality materials • Utility problems • Process windows vs design windows • Capability of equipment 11/14/2018 84
  • 85. Racing against time – new technology introduction 11/14/2018 85 • When new technology is introduced, yield is low, therefore, cost is high • When yield is improved, cost is reduced • However, the price also drops • The cost has to be reduced faster than the price drops in order to guarantee a window of profit
  • 86. Window of profit for product life 86 cost price time
  • 89. Cost of wafer fab vs. market size Cost of a typical fab $500 m Total worldwide market $120 b Ratio of 240 to 1 Cost of a typical fab $10 b Total worldwide market $430 b Ratio of 43 to 1 11/14/2018 89
  • 90. Cost goes up x6 faster than sales • In 18 years, the market size only doubled, but the cost of factory increase by 12 times • That means cost increases 6 times faster than sales ▫ 193 nm scanner costs $60 m each and EUV scanner costs >$120 m ▫ Number of process steps increase from 200 to 700 => more labor, more materials, more utilities ▫ Sales price drops (such as computer/ TV/ cell phone/ digital camera) 11/14/2018 90
  • 91. The rise of foundry business model • Before 1990, semiconductor industry was dominated by IDM (Integrated device manufacturer). • After 2000, semiconductor industry is dominated by design houses and foundry (except for memory). • Even design houses are becoming capital intensive. A mask set for 20 nm chip costs >$1 million 91
  • 92. Consolidation has happened • Each generation of technology costs $500 m to develop • Technology becomes obsolete quickly. New technology means better performance, lower cost. • Any company less than $10 b can neither afford to build a new fab or to develop new technology (8 semiconductor companies > $12 b) • Trend is for consolidation. 11/14/2018 92
  • 93. Return on investment is not guaranteed • However, the investment (R&D and factory) does not guarantee returns because ▫ Technology development may not be successful ▫ Margin is ultra thin ▫ The market size is barely sufficient to allow top 2 companies in each business area to be profitable 11/14/2018 93
  • 94. NAND market • NAND is the key component of data storage. • Data storage is the corner stone of data center. • Data center drives cloud computing, internet, Big Data, blockchain, Fintech and artificial intelligence, and is the most important infrastructure of 21st century. • The country which controls NAND is like the country which controls petroleum in 20th century. 94
  • 95. What is the future • End of technology roadmap is in sight. In a decade, transistor will be only few atoms big. • Market will be driven by applications rather than semiconductor technology. • Applications like 5G, Big Data, cloud computing, artificial intelligence, blockchain will drive demand • In the past, the leader is the company that can evolve new technology sooner than others • In the future, the leader is the company that can have the most efficient production 11/14/2018 95
  • 96. Evolution of business model 96 Chip design and sales Chip foundry System integration System house Design house Fab Chip design and sales In house fab System integration Chip design and sales Chip foundry System integration PAST NOW FUTURE
  • 97. Summary • By 2025, semiconductor industry will face the end of technology development and Moore’s law will breakdown • By then, semiconductor industry can only grow with diversified applications rather than higher performance. 11/14/2018 97