SlideShare a Scribd company logo
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056
Volume: 04 Issue: 09 | Sep -2017 www.irjet.net p-ISSN: 2395-0072
© 2017, IRJET | Impact Factor value: 5.181 | ISO 9001:2008 Certified Journal | Page 569
Power Optimized Transmitter for Future Switched Network
Omkar C. Mane1, Prof. Usha Jadhav2
1Dept. of Electronics and Telecommunication Engg. D. Y. Patil College of Engineering, Akurdi Pune, India
2Faculty of Dept. of Electronics and Telecommunication Engg. D. Y. Patil College of Engineering, Akurdi
Pune, India
---------------------------------------------------------------------***-------------------------------------------------------------------
Abstract - Network equipment power consumption is under
increased scrutiny. To understand transmitter power
consumption, Combination of CMOS and MOS current mode
logic (MCML) is used and characterize power consumption
using Tanner EDA Tool 13.0. For optical transmitters, weshow
that photonic components and front end drivers only consume
a small fraction (<22%) of total serial transmitter power. This
implies that the power of optical transmitter is reduced can
only be obtained by paying attention to the physical layer. We
propose a physical layer protocol suitable for optically
switched links that retains the beneficial transmission
characteristics of 8b/10b, but, even without power gating and
voltage controlled oscillator power optimization, reduces the
power consumption during idleperiods by29%compared with
a conventional 8b/10b transmitter. We have made the toolkit
available to the community at large in the hope of stimulating
work in this field.
1. INTRODUCTION
The persistent growth in network traffic advanced by recent
developments, such as video sharing, IPTV, and cloud-based
storage, is causing increased demands on the network
switching capacity and energy consumption at the Internet
core and within data centers. Increasing the capacity of
current high-bandwidth electronic switches is not only
technically demanding it also leads to higher thermal
dissipation. This leads not only to interconnect technologies
with high connectivity and capacity, but also lower latency,
power consumption, and cost. Among these, the energy
performance of networked systems has become a first class
property of interest to industry and researchers. It has been
shown that to make large energy savings through energy-
proportionality current computer systems must be made to
do nothing well: minimizing consumption when not in use.
Optical networks continue to deliver on the promise of
bandwidth, latency, and low power utilization but if optical
switch fabrics are to continue meeting their promise asa key
component in future energy-proportional systems.
Then, we need a generation of high-speed transmitter
designed with energy proportionality as the first class
property.
Transceiver designhasbeen focuseduponproviding
high reliability with ever-higher levels of link capacity
(bandwidth) to meet ever-growing needs to interconnect
computer devices. This has led to optical transceivers that
are always on, exchanging information to remain
synchronized even when carrying no data. Such designs suit
point-to-point link communications, providing implicit
information about the point-to-point link status, even when
no data is carried. There is a wide range of transceivers, with
electronics to drive twisted paircopper,multichannel coaxial
copper, and a range of optical systems. Current commercial
optical 10 Gb/s transceivers have lower power consumption
than twisted-pair serial transceivers due to a lower
complexity physical (PHY) layer,1. Yet,inweshowedthat the
popular 8b/10b coding scheme can consume more power
when transmitting idle frames than when transmitting data.
Finally, a further power consumption incentive comes from
the increasing move of communication endpoints to on-chip
in silicon-on-chip (SoC) processors. With predictions that a
growing proportion of the chipwill needto bepowergatedat
any one time, the so called dark silicon effect. The serial
electronic transceivers, which provide several Tb/s of off-
chip bandwidth required in high-performance SoC
processors, are already consuming >20% of the total power.
Silicon photonics has been widely proposed as one of the
solutions to the processor communications bottleneck and
energy issues. However, we show that optical transceiver
power is dominated by other physical layer (PHY) functions
such as serialization/deserialization (SERDES), clock
recovery, and line coding. Hence, a simplistic change from
electronic to optical transmitter will not reduce power
consumption without an accompanying change to the PHY
layer. Furthermore, at the packet timescale, an optical
switched system sets a new optical pathway to each
destination. Thus, the physical layer need not remain
operating when idle and, without system wide time
synchronization, an optical packet-switch proposal uses
burst-mode receivers capable of fast locking to incoming
packets each having different frequency, phase, and
amplitude. This requires per packetclock recoverydesignsin
a new PHY implementation.
Synchronization, an optical packet-switch proposal
uses burst-mode receiverscapableoffastlockingtoincoming
packets each having different frequency, phase, and
amplitude. This requires per packetclock recoverydesignsin
a new PHY implementation.
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056
Volume: 04 Issue: 09 | Sep -2017 www.irjet.net p-ISSN: 2395-0072
© 2017, IRJET | Impact Factor value: 5.181 | ISO 9001:2008 Certified Journal | Page 570
2. Transmitter design
This section describes the main functional circuit blocks of
the transceiver. Top-level representations of the transmitter
and receiver are shown in Figs. 1 . Initially, the transmitter
design was aimed at a payload bit rate (before adding coding
overhead) of 10 Gb/s. In a later work, we characterized the
circuits at different bit rates to investigate optimum bit rate
versus power operating points.
Fig 1. Proposed block diagram of optical transmitter
I. Line Coding
The functions of the coding block include dc balance, byte
alignment within the serial stream, and error detection. We
consider two popular encoding schemes: 8b/10b block code
and the scrambler-based64B/66B.8b/10b representsa class
of parity–disparity dc-balanced codes that map arriving 8-b
symbols into a 10-b code words using predefined code
groups at run time on the word-by-word basis. The code
limits the run length of identical symbols to remove baseline
wander in AC-coupled receivers and guarantee the required
transition density for clock synchronization. 8b/10b coding
has excellent transmission properties but has a bit rate
overhead of 25%. For this reason, the hybrid-scrambled
64B/66B encoding scheme was selected for 10 Gb/s
Ethernet, which reduces the overhead to 3%. The encoding
module performs a framing function by transformingthe 64-
b data and 8-b control inputs into a 66-b block. Each 64-b
data word is scrambled with a 58th degree polynomial to
ensure statistical dc-balance and transition densityanda 2-b
synchronization headerisappendedtoallowframedetection
and alignment to be performed. Fig. 3 shows block diagrams
of the two alternative coding schemes in the transmitter. In
the 64B/66B case, the transmitter accepts 64-b data at
156.25 MHz and carries out encoding and scrambling. The
resulting 66-b are converted by the gearboxto64-binterface
at 161.13 MHz for more efficient serialization. In the 8b/10b
case, we implemented versions with both 8-b wide client-
side data interface running at 1.25 GHz and a dual encoder
with a 16-b interface operating at 625 MHz. Figs.3 show the
16-b interface. In all cases, phase differences between the
coding block and client-side interface are compensated by a
first-in first-out (FIFO) buffer.
2. Scrambler
Fig2. Scrambler operation
The first bit in sequence s1is summed modulo-2 with the
modulo-2 sum of location 2 and 5 in the shift register. This
sum becomes the first bit in bit sequence s2. As this bit is
presented to the channel, the contents of the shift register
are shifted up one stage as follow: 5 out, 4 goes to 5, 5goes
to 3, 3 goes to 4, 2 goes to 3, 1 goes to 2. The first bit in s2 is
also placed in shift register stage 1.The next bit of sequence
s1 arrives, and the procedure is repeated.
II. Serialization and Deserialization
The SERDES circuits convert between the low-speedparallel
data and a high-speed serial bit stream. The multiplexing
ratios depend on the coding scheme used. In the case of
64B/66B, 64-b sequences at 161.13 MHz are converted to
10.3125 Gb/s using a 64:1 ratio. In contrast, a transceiver
with 8b/10b coding performs either 10:1 or 20:1
multiplexing producing a line rate of 12.5 Gb/s. As shown in
Figs 3 the SERDES circuits are implementedina combination
of static CMOS and MCML. To find a power-efficient SERDES
design, we engineered a variety of configurations. For
example, for 64B/66B, we investigated 64:1 SERDES based
on 64:N CMOS and N:1 MCML circuits where N = 2, 4, or 8
(referred to throughout the rest of this paper as 64:N:1). In a
similar way, we investigated 8b/10b SERDES using 20:N:1
(dual encoder) and 10:N:1 (single encoder) cases, with N = 2
or 4.
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056
Volume: 04 Issue: 09 | Sep -2017 www.irjet.net p-ISSN: 2395-0072
© 2017, IRJET | Impact Factor value: 5.181 | ISO 9001:2008 Certified Journal | Page 571
Fig 3. CMOS and MCML combination for serialization and
deserialization.
The CMOS SERDES circuits are implemented as shift
registers. The MCML circuits were implemented as binary
tree multiplexers constructed by cascading 2:1 multiplexer
cells, frequency dividers, and delay lines, which were
manually optimized for the required bandwidth and timing
operation. Fig. 5 shows an example of a 64:8:1 SERDES.
III. Transmitter Phase-Locked Loop
Commonly used phase-locked loop (PLL) and CDR circuits
often use multiple stages to facilitate a stable and consistent
operation. This redundancy usually delivers high
performance but the synchronization process takes a
relatively long time to achieve a stable lock. The simplicity of
our CDR design guarantees a fast locking time (≤10 clock
cycles) and maximum power and area efficiency. Although
realistic CDR implementation may require some
modifications to the design to account for factors such as
minor impedance mismatch, capacitive and inductive
resistance variations, and so on, we believe that the power
figures will be representative of real circuits.
IV. Channel Bonding
To find the power consequences of using multiple lower bit
rate serial streams rather than a single serial channel, we
designed a channel bonding circuit in Verilog, which
eliminates skew between multiple channels using a separate
FIFO. In an optical link, these channels could be either space
or wavelength division multiplexed. We tested the circuit
operating on the output of two 8b/10b client-side streams,
but the Verilog model isparameterizedforhighernumbersof
channels. The circuit is designed for burst-mode operation.
3. Circuit design
To find the power consequences of using multiple lower bit
rate serial streams rather than a single serial channel, we
designed a channel bonding circuit in Verilog, which
eliminates skew between multiple channels using a separate
FIFO. In an optical link, these channels could be either space
or wavelength division multiplexed. We tested the circuit
operating on the output of two 8b/10b client-side streams,
but the Verilog model isparameterizedforhighernumbersof
channels. The circuit is designed for burst-mode operation.
A. Design of CMOS Circuits
Design of the static CMOS circuits started with Register
Transfer Level (RTL) Verilog hardware descriptionlanguage
descriptions and synthesized using Synopsys Design
Compiler with a commercially available 45-nm standard cell
library. Constraints wereset tominimize powerconsumption
at the required operating frequency. The typical clock
frequency margin used for synthesis is considered to be at
least 15% faster than the nominal frequency value. The
synthesized Verilog netlist was simulated using Mentor
Graphics ModelSim to verify correct operation and store
activity data for dynamic power analysis. The input stimulus
for the simulations was extracted from realistic 10 Gb/s
Ethernet trace files and analyzed under: 1) continuous data
transmission and 2) continuous idle transmission input
setups. Synopsys Prime Time was used to generate power
consumption data for each circuit block.
B. Design of MCML Circuits
Although new generations of CMOS technologies
continuously improve their performance and power
characteristics due to scaling, CMOS circuits are prone to
generate a highlevel supply noise while operating at high
speeds. The noise factor limits the on-chip integration of
digital blocks with their analog counterparts. Logic families
with differential signaling, such as MCML are characterized
by an improved noise immunity and high-speed operation.
The speed advantage is achieved by the fact that the current,
generated by a constant current source, is steered betweena
pair of fully differential transistors and produces a reduced
swing voltage drop at outputs (in combination with specific
voltage gains), reducing the generation of logic level
switching noise. It must be noted though that the presenceof
the current sink implies a constant power dissipation
irrespective to the operating frequency or input sequence
applied. Power dissipation in MCML circuitisdominated bya
static power (P = Vdd× Iss) and is independent of the
operating frequency. In this paper, an MCML cell library was
developed. The design process used the transistor models
supplied with the 45-nm CMOS standard cell library and a
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056
Volume: 04 Issue: 09 | Sep -2017 www.irjet.net p-ISSN: 2395-0072
© 2017, IRJET | Impact Factor value: 5.181 | ISO 9001:2008 Certified Journal | Page 572
semianalytical methodology developed in HSPICE
environment for cells opti-mization. To satisfy the required
performance criteria of high- speed operation and minimize
power dissipation of individual gates, we used HSPICE
optimization solver. This allowed us to produce the bestcase
parameter variation model for a specific subset of supply
voltages, voltage swings and biasing currents selected as the
input characteristics. Appendix describes the design and
optimization process for the MCML cell libraryindetail.Once
the MCML cell library optimization process was complete,
design of serialization, deserialization, and CDR circuits was
performed. Correct operation was verified and power
measured using SPICE simulation.
4 MCML DESIGN AND OPTIMIZATION-
Design of MCML circuits requires optimization of a large
number of parameters. Previous work in the field provided
an analytical description of all parameters used in the MCML
logic design process and reviewed the impact of these on
performance/power response. In this paper, we developed
an optimization toolkit, which allows deriving an MCML cell
library parameters in an automated way via using standard
SPICE descriptions of MOSFET transistors and satisfying the
specific criteria in power efficiency and performance
measured as system’s outputs. In the following section, we
review the major operation principles and properties of a
typical MCML cell and provide the optimization procedure
used throughout the cell development process.
A typical MCML gate is composed of three main blocks the
pull-up network, implemented as a set of resistors or active
p-MOS loads, the fully differential pull-downnetwork,which
steers the current between the branches, and the current
source. The performance of a gate is a function of various
metrics and is determined/evaluated by the corresponding
adjustments made in transistor sizing, biasing voltages,
reference currents/voltages, and differential voltageswings.
The operation of a standard MCML inverter cell can be
described as follows. Due to presence of active loads R, a
voltage drop V = I × R is produced, permitting logical 1 and 0
states to be represented as V dd and V dd – V voltages,
respectively. The use of active loads, implemented as p-MOS
transistors conducting in the linear region (assumed to
provide a roughly linear transfer function response), allows
online adaptabilitythathelpscompensatinganyspontaneous
variations inside the circuit. Typical resistance values are in
the order of 10 s of Ks and require sink currents to be in the
order of couple of hundreds microamperes. The increase in
transistor sizing, i.e., WP/L P ratio, lowers the load
resistance, and, as a rule, propagation delay of inverter
circuit; it is also followed by reduction in saturation voltage
of the p-MOS loads causing degradation in linear response.
An example of biasing circuit that is used for parameter’s
adjustment
Fig. 4. MCML inverter cell.
5. PREPROCESSING RESULTS AND HARDWARE
IMPLEMENTATION
A . Front end
Proposed transmitter is consist of both front end and back
end. Front end is consist of different block such as FIFO,
encoder, bitslip, this block’s are implemented by using
Verilog code. RTL schematic of top level module is shown in
fig 5.
Fig 5. RTL schematic of frontend
Front of proposed transmitter is designed by using VERILOG
code to understand the performance of understand. The
design of front end is accomplished by using XILINX 14.7
tool. Simulation result is shown in fig. 6
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056
Volume: 04 Issue: 09 | Sep -2017 www.irjet.net p-ISSN: 2395-0072
© 2017, IRJET | Impact Factor value: 5.181 | ISO 9001:2008 Certified Journal | Page 573
Fig. 6 Final simulation result of Front end.
Backend result-
Backend of proposed transmitter is consist of 64:1
multiplexer and it is a combination of combination of MCML
and CMOS circuit and it is implemented byusingTannerEDA
tool.
Fig. 7 Schematic of 2: 1 MUX MCML circuit
Backend of proposed transmitter is designed to understand
performance of MCML and CMOS circuit Simulation
accomplished in Tanner EDA 13.0byusing45nmtechnology.
S-edit of Tanner EDA is used in order to create the
schematics of the circuit. Simulation result shown in fig.7
Fig. 15 Final simulation result of 64:N bit MUX.
Table 1 : Simulation results of MCML and CMOS 64
MUX.
45nm
process
vdd Delay
[ns]
Power
[μW]
AND gate 0.9 0.019 0.38
NAND gate 0.9 0.019 0.38
INVERTER 0.9 0.00023 0.00198
2:1 MUX
MCML
0.9 4.75 41.67
6. Conclusion
We note that, as ultralow energy silicon photonic
communication components become commonplace, the
power consumption of the other transceiver components
must become the focus for major reductions in transceiver
power. Such reductions can only be obtained with attention
to the physical layer circuits and protocols of which SERDES
is the largest component. Our results show that the high-
speed subsystem, incorporating SERDES, CDR, and clock
recovery, can, despite relatively simple logic, consume50%–
60% of the total power. This is largely due to the integration
of standard CMOS and differential MCML components
operating at a high clock rate
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056
Volume: 04 Issue: 09 | Sep -2017 www.irjet.net p-ISSN: 2395-0072
© 2017, IRJET | Impact Factor value: 5.181 | ISO 9001:2008 Certified Journal | Page 574
References
1. R. S. Tucker, “Green optical communications—Part
II: Energy limitations in networks,” IEEE J. Sel.
Topics Quantum Electron., vol. 17, no. 2, pp. 261–
274, Mar./Apr. 2011.
2. D. A. Miller, “Rationale and challenges for optical
interconnects to electronic chips,”Proc. IEEE,vol.88,
no. 6, pp. 728–749, Jun. 2000
3. D. Huang, T. Sze, A. Landin, R. Lytel, and H. L.
Davidson, “Optical interconnects: Out of the box
forever?” IEEE J. Sel. Topics QuantumElectron.,vol.9,
no. 2, pp. 614–623, Mar./Apr. 2003.
4. L. A. Barroso and U. Holzle, “The case for energy-
proportional
5. computing,” IEEE Comput., vol. 40, no. 12,pp.33–37,
Dec. 2007.
6. O’Connor, “Optical solutions for system-level
interconnect,” in Proc. Int. Workshop Syst. Level
Interconnect Predict., 2004, pp. 79–88.
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056
Volume: 04 Issue: 09 | Sep -2017 www.irjet.net p-ISSN: 2395-0072
© 2017, IRJET | Impact Factor value: 5.181 | ISO 9001:2008 Certified Journal | Page 575

More Related Content

What's hot

Ijciet 10 02_067
Ijciet 10 02_067Ijciet 10 02_067
Ijciet 10 02_067
IAEME Publication
 
Area Versus Speed Trade-off Analysis of a WiMAX Deinterleaver Circuit Design
Area Versus Speed Trade-off Analysis of a WiMAX Deinterleaver Circuit DesignArea Versus Speed Trade-off Analysis of a WiMAX Deinterleaver Circuit Design
Area Versus Speed Trade-off Analysis of a WiMAX Deinterleaver Circuit Design
ijsrd.com
 
A 130-NM CMOS 400 MHZ 8-Bit Low Power Binary Weighted Current Steering DAC
A 130-NM CMOS 400 MHZ 8-Bit Low Power Binary Weighted Current Steering DAC A 130-NM CMOS 400 MHZ 8-Bit Low Power Binary Weighted Current Steering DAC
A 130-NM CMOS 400 MHZ 8-Bit Low Power Binary Weighted Current Steering DAC
ijcisjournal
 
www.ijerd.com
www.ijerd.comwww.ijerd.com
www.ijerd.com
IJERD Editor
 
Analysis of CMOS Comparator in 90nm Technology with Different Power Reduction...
Analysis of CMOS Comparator in 90nm Technology with Different Power Reduction...Analysis of CMOS Comparator in 90nm Technology with Different Power Reduction...
Analysis of CMOS Comparator in 90nm Technology with Different Power Reduction...
IJECEIAES
 
Design and implementation of 4-bit binary weighted current steering DAC
Design and implementation of 4-bit binary weighted current steering DAC Design and implementation of 4-bit binary weighted current steering DAC
Design and implementation of 4-bit binary weighted current steering DAC
IJECEIAES
 
Energy Efficient Design of Multiplexer Using Adiabatic logic
Energy Efficient Design of Multiplexer Using Adiabatic logicEnergy Efficient Design of Multiplexer Using Adiabatic logic
Energy Efficient Design of Multiplexer Using Adiabatic logic
IJEEE
 
Delta-sigma ADC modulator for multibit data converters using passive adder en...
Delta-sigma ADC modulator for multibit data converters using passive adder en...Delta-sigma ADC modulator for multibit data converters using passive adder en...
Delta-sigma ADC modulator for multibit data converters using passive adder en...
journalBEEI
 
IRJET- Design of Memristor based Multiplier
IRJET- Design of Memristor based MultiplierIRJET- Design of Memristor based Multiplier
IRJET- Design of Memristor based Multiplier
IRJET Journal
 
IRJET- Implementation and Analysis of Hybridization in Modified Parallel Adde...
IRJET- Implementation and Analysis of Hybridization in Modified Parallel Adde...IRJET- Implementation and Analysis of Hybridization in Modified Parallel Adde...
IRJET- Implementation and Analysis of Hybridization in Modified Parallel Adde...
IRJET Journal
 
Low power fsk demodulator
Low power fsk demodulatorLow power fsk demodulator
Low power fsk demodulator
eSAT Journals
 
RTB: BIDIRECTIONAL TRANSCEIVER (ESSCIRC85)
RTB: BIDIRECTIONAL TRANSCEIVER (ESSCIRC85)RTB: BIDIRECTIONAL TRANSCEIVER (ESSCIRC85)
RTB: BIDIRECTIONAL TRANSCEIVER (ESSCIRC85)
Piero Belforte
 
Design and Implementation of combinational circuits in different low power lo...
Design and Implementation of combinational circuits in different low power lo...Design and Implementation of combinational circuits in different low power lo...
Design and Implementation of combinational circuits in different low power lo...
iosrjce
 
Fpga implementation of soft decision low power convolutional decoder using vi...
Fpga implementation of soft decision low power convolutional decoder using vi...Fpga implementation of soft decision low power convolutional decoder using vi...
Fpga implementation of soft decision low power convolutional decoder using vi...
ecejntuk
 
Mukherjee2015
Mukherjee2015Mukherjee2015
Mukherjee2015
Bannoth Madhusudhan
 
IRJET- Re-Configuration Topology for On-Chip Networks by Back-Tracking
IRJET- Re-Configuration Topology for On-Chip Networks by Back-TrackingIRJET- Re-Configuration Topology for On-Chip Networks by Back-Tracking
IRJET- Re-Configuration Topology for On-Chip Networks by Back-Tracking
IRJET Journal
 
72
7272
Implementation of Full Adder Cell Using High Performance CMOS Technology
Implementation of Full Adder Cell Using High Performance CMOS TechnologyImplementation of Full Adder Cell Using High Performance CMOS Technology
Implementation of Full Adder Cell Using High Performance CMOS Technology
ijsrd.com
 
IRJET- Implementation of Low Power 32-Bit Carry-Look Ahead Adder using Ad...
IRJET-  	  Implementation of Low Power 32-Bit Carry-Look Ahead Adder using Ad...IRJET-  	  Implementation of Low Power 32-Bit Carry-Look Ahead Adder using Ad...
IRJET- Implementation of Low Power 32-Bit Carry-Look Ahead Adder using Ad...
IRJET Journal
 
A Review of Different Methods for Booth Multiplier
A Review of Different Methods for Booth MultiplierA Review of Different Methods for Booth Multiplier
A Review of Different Methods for Booth Multiplier
IJERA Editor
 

What's hot (20)

Ijciet 10 02_067
Ijciet 10 02_067Ijciet 10 02_067
Ijciet 10 02_067
 
Area Versus Speed Trade-off Analysis of a WiMAX Deinterleaver Circuit Design
Area Versus Speed Trade-off Analysis of a WiMAX Deinterleaver Circuit DesignArea Versus Speed Trade-off Analysis of a WiMAX Deinterleaver Circuit Design
Area Versus Speed Trade-off Analysis of a WiMAX Deinterleaver Circuit Design
 
A 130-NM CMOS 400 MHZ 8-Bit Low Power Binary Weighted Current Steering DAC
A 130-NM CMOS 400 MHZ 8-Bit Low Power Binary Weighted Current Steering DAC A 130-NM CMOS 400 MHZ 8-Bit Low Power Binary Weighted Current Steering DAC
A 130-NM CMOS 400 MHZ 8-Bit Low Power Binary Weighted Current Steering DAC
 
www.ijerd.com
www.ijerd.comwww.ijerd.com
www.ijerd.com
 
Analysis of CMOS Comparator in 90nm Technology with Different Power Reduction...
Analysis of CMOS Comparator in 90nm Technology with Different Power Reduction...Analysis of CMOS Comparator in 90nm Technology with Different Power Reduction...
Analysis of CMOS Comparator in 90nm Technology with Different Power Reduction...
 
Design and implementation of 4-bit binary weighted current steering DAC
Design and implementation of 4-bit binary weighted current steering DAC Design and implementation of 4-bit binary weighted current steering DAC
Design and implementation of 4-bit binary weighted current steering DAC
 
Energy Efficient Design of Multiplexer Using Adiabatic logic
Energy Efficient Design of Multiplexer Using Adiabatic logicEnergy Efficient Design of Multiplexer Using Adiabatic logic
Energy Efficient Design of Multiplexer Using Adiabatic logic
 
Delta-sigma ADC modulator for multibit data converters using passive adder en...
Delta-sigma ADC modulator for multibit data converters using passive adder en...Delta-sigma ADC modulator for multibit data converters using passive adder en...
Delta-sigma ADC modulator for multibit data converters using passive adder en...
 
IRJET- Design of Memristor based Multiplier
IRJET- Design of Memristor based MultiplierIRJET- Design of Memristor based Multiplier
IRJET- Design of Memristor based Multiplier
 
IRJET- Implementation and Analysis of Hybridization in Modified Parallel Adde...
IRJET- Implementation and Analysis of Hybridization in Modified Parallel Adde...IRJET- Implementation and Analysis of Hybridization in Modified Parallel Adde...
IRJET- Implementation and Analysis of Hybridization in Modified Parallel Adde...
 
Low power fsk demodulator
Low power fsk demodulatorLow power fsk demodulator
Low power fsk demodulator
 
RTB: BIDIRECTIONAL TRANSCEIVER (ESSCIRC85)
RTB: BIDIRECTIONAL TRANSCEIVER (ESSCIRC85)RTB: BIDIRECTIONAL TRANSCEIVER (ESSCIRC85)
RTB: BIDIRECTIONAL TRANSCEIVER (ESSCIRC85)
 
Design and Implementation of combinational circuits in different low power lo...
Design and Implementation of combinational circuits in different low power lo...Design and Implementation of combinational circuits in different low power lo...
Design and Implementation of combinational circuits in different low power lo...
 
Fpga implementation of soft decision low power convolutional decoder using vi...
Fpga implementation of soft decision low power convolutional decoder using vi...Fpga implementation of soft decision low power convolutional decoder using vi...
Fpga implementation of soft decision low power convolutional decoder using vi...
 
Mukherjee2015
Mukherjee2015Mukherjee2015
Mukherjee2015
 
IRJET- Re-Configuration Topology for On-Chip Networks by Back-Tracking
IRJET- Re-Configuration Topology for On-Chip Networks by Back-TrackingIRJET- Re-Configuration Topology for On-Chip Networks by Back-Tracking
IRJET- Re-Configuration Topology for On-Chip Networks by Back-Tracking
 
72
7272
72
 
Implementation of Full Adder Cell Using High Performance CMOS Technology
Implementation of Full Adder Cell Using High Performance CMOS TechnologyImplementation of Full Adder Cell Using High Performance CMOS Technology
Implementation of Full Adder Cell Using High Performance CMOS Technology
 
IRJET- Implementation of Low Power 32-Bit Carry-Look Ahead Adder using Ad...
IRJET-  	  Implementation of Low Power 32-Bit Carry-Look Ahead Adder using Ad...IRJET-  	  Implementation of Low Power 32-Bit Carry-Look Ahead Adder using Ad...
IRJET- Implementation of Low Power 32-Bit Carry-Look Ahead Adder using Ad...
 
A Review of Different Methods for Booth Multiplier
A Review of Different Methods for Booth MultiplierA Review of Different Methods for Booth Multiplier
A Review of Different Methods for Booth Multiplier
 

Similar to Power Optimized Transmitter for Future Switched Network

A 12-Bit High Speed Analog To Digital Convertor Using μp 8085
A 12-Bit High Speed Analog To Digital Convertor Using μp 8085A 12-Bit High Speed Analog To Digital Convertor Using μp 8085
A 12-Bit High Speed Analog To Digital Convertor Using μp 8085
IJERA Editor
 
IRJET- Power Line Carrier Communication
IRJET- Power Line Carrier CommunicationIRJET- Power Line Carrier Communication
IRJET- Power Line Carrier Communication
IRJET Journal
 
C011122428
C011122428C011122428
C011122428
IOSR Journals
 
Optimizing Data Encoding Technique For Dynamic Power Reduction In Network On ...
Optimizing Data Encoding Technique For Dynamic Power Reduction In Network On ...Optimizing Data Encoding Technique For Dynamic Power Reduction In Network On ...
Optimizing Data Encoding Technique For Dynamic Power Reduction In Network On ...
IRJET Journal
 
DESIGN OF LOW POWER SAR ADC FOR ECG USING 45nm CMOS TECHNOLOGY
DESIGN OF LOW POWER SAR ADC FOR ECG USING 45nm CMOS TECHNOLOGYDESIGN OF LOW POWER SAR ADC FOR ECG USING 45nm CMOS TECHNOLOGY
DESIGN OF LOW POWER SAR ADC FOR ECG USING 45nm CMOS TECHNOLOGY
VLSICS Design
 
DESIGN OF LOW POWER SAR ADC FOR ECG USING 45nm CMOS TECHNOLOGY
DESIGN OF LOW POWER SAR ADC FOR ECG USING 45nm CMOS TECHNOLOGYDESIGN OF LOW POWER SAR ADC FOR ECG USING 45nm CMOS TECHNOLOGY
DESIGN OF LOW POWER SAR ADC FOR ECG USING 45nm CMOS TECHNOLOGY
VLSICS Design
 
High Performance Binary to Gray Code Converter using Transmission GATE
High Performance Binary to Gray Code Converter using Transmission GATE High Performance Binary to Gray Code Converter using Transmission GATE
High Performance Binary to Gray Code Converter using Transmission GATE
IJEEE
 
High Speed Low-Power Viterbi Decoder Using Trellis Code Modulation
High Speed Low-Power Viterbi Decoder Using Trellis Code ModulationHigh Speed Low-Power Viterbi Decoder Using Trellis Code Modulation
High Speed Low-Power Viterbi Decoder Using Trellis Code Modulation
MangaiK4
 
High Speed Low-Power Viterbi Decoder Using Trellis Code Modulation
High Speed Low-Power Viterbi Decoder Using Trellis Code ModulationHigh Speed Low-Power Viterbi Decoder Using Trellis Code Modulation
High Speed Low-Power Viterbi Decoder Using Trellis Code Modulation
MangaiK4
 
IRJET-Spectrum Allocation Policies for Flex Grid Network with Data Rate Limit...
IRJET-Spectrum Allocation Policies for Flex Grid Network with Data Rate Limit...IRJET-Spectrum Allocation Policies for Flex Grid Network with Data Rate Limit...
IRJET-Spectrum Allocation Policies for Flex Grid Network with Data Rate Limit...
IRJET Journal
 
A 8-bit high speed ADC using Intel μP 8085
A 8-bit high speed ADC using Intel μP 8085A 8-bit high speed ADC using Intel μP 8085
A 8-bit high speed ADC using Intel μP 8085
IJERD Editor
 
A NEW DATA ENCODER AND DECODER SCHEME FOR NETWORK ON CHIP
A NEW DATA ENCODER AND DECODER SCHEME FOR  NETWORK ON CHIPA NEW DATA ENCODER AND DECODER SCHEME FOR  NETWORK ON CHIP
A NEW DATA ENCODER AND DECODER SCHEME FOR NETWORK ON CHIP
Editor IJMTER
 
Fixed-Outline 3-D IC Floor planning with TSV Co-Placement
Fixed-Outline 3-D IC Floor planning with TSV Co-PlacementFixed-Outline 3-D IC Floor planning with TSV Co-Placement
Fixed-Outline 3-D IC Floor planning with TSV Co-Placement
IRJET Journal
 
Design of Low Power High Speed 4-Bit TIQ Based CMOS Flash ADC
Design of Low Power High Speed 4-Bit TIQ Based CMOS Flash ADCDesign of Low Power High Speed 4-Bit TIQ Based CMOS Flash ADC
Design of Low Power High Speed 4-Bit TIQ Based CMOS Flash ADC
Aman JanGra
 
An Ultra-Low Power Robust Koggestone Adder at Sub-Threshold Voltages for Impl...
An Ultra-Low Power Robust Koggestone Adder at Sub-Threshold Voltages for Impl...An Ultra-Low Power Robust Koggestone Adder at Sub-Threshold Voltages for Impl...
An Ultra-Low Power Robust Koggestone Adder at Sub-Threshold Voltages for Impl...
VLSICS Design
 
AN ULTRA-LOW POWER ROBUST KOGGESTONE ADDER AT SUB-THRESHOLD VOLTAGES FOR IMPL...
AN ULTRA-LOW POWER ROBUST KOGGESTONE ADDER AT SUB-THRESHOLD VOLTAGES FOR IMPL...AN ULTRA-LOW POWER ROBUST KOGGESTONE ADDER AT SUB-THRESHOLD VOLTAGES FOR IMPL...
AN ULTRA-LOW POWER ROBUST KOGGESTONE ADDER AT SUB-THRESHOLD VOLTAGES FOR IMPL...
VLSICS Design
 
GENERIC SYSTEM VERILOG UNIVERSAL VERIFICATION METHODOLOGY BASED REUSABLE VERI...
GENERIC SYSTEM VERILOG UNIVERSAL VERIFICATION METHODOLOGY BASED REUSABLE VERI...GENERIC SYSTEM VERILOG UNIVERSAL VERIFICATION METHODOLOGY BASED REUSABLE VERI...
GENERIC SYSTEM VERILOG UNIVERSAL VERIFICATION METHODOLOGY BASED REUSABLE VERI...
VLSICS Design
 
IRJET- Low Power Adder and Multiplier Circuits Design Optimization in VLSI
IRJET- Low Power Adder and Multiplier Circuits Design Optimization in VLSIIRJET- Low Power Adder and Multiplier Circuits Design Optimization in VLSI
IRJET- Low Power Adder and Multiplier Circuits Design Optimization in VLSI
IRJET Journal
 
IRJET - High Speed Inexact Speculative Adder using Carry Look Ahead Adder...
IRJET -  	  High Speed Inexact Speculative Adder using Carry Look Ahead Adder...IRJET -  	  High Speed Inexact Speculative Adder using Carry Look Ahead Adder...
IRJET - High Speed Inexact Speculative Adder using Carry Look Ahead Adder...
IRJET Journal
 
VLSI Design of Low Power High Speed 4 Bit Resolution Pipeline ADC In Submicro...
VLSI Design of Low Power High Speed 4 Bit Resolution Pipeline ADC In Submicro...VLSI Design of Low Power High Speed 4 Bit Resolution Pipeline ADC In Submicro...
VLSI Design of Low Power High Speed 4 Bit Resolution Pipeline ADC In Submicro...
VLSICS Design
 

Similar to Power Optimized Transmitter for Future Switched Network (20)

A 12-Bit High Speed Analog To Digital Convertor Using μp 8085
A 12-Bit High Speed Analog To Digital Convertor Using μp 8085A 12-Bit High Speed Analog To Digital Convertor Using μp 8085
A 12-Bit High Speed Analog To Digital Convertor Using μp 8085
 
IRJET- Power Line Carrier Communication
IRJET- Power Line Carrier CommunicationIRJET- Power Line Carrier Communication
IRJET- Power Line Carrier Communication
 
C011122428
C011122428C011122428
C011122428
 
Optimizing Data Encoding Technique For Dynamic Power Reduction In Network On ...
Optimizing Data Encoding Technique For Dynamic Power Reduction In Network On ...Optimizing Data Encoding Technique For Dynamic Power Reduction In Network On ...
Optimizing Data Encoding Technique For Dynamic Power Reduction In Network On ...
 
DESIGN OF LOW POWER SAR ADC FOR ECG USING 45nm CMOS TECHNOLOGY
DESIGN OF LOW POWER SAR ADC FOR ECG USING 45nm CMOS TECHNOLOGYDESIGN OF LOW POWER SAR ADC FOR ECG USING 45nm CMOS TECHNOLOGY
DESIGN OF LOW POWER SAR ADC FOR ECG USING 45nm CMOS TECHNOLOGY
 
DESIGN OF LOW POWER SAR ADC FOR ECG USING 45nm CMOS TECHNOLOGY
DESIGN OF LOW POWER SAR ADC FOR ECG USING 45nm CMOS TECHNOLOGYDESIGN OF LOW POWER SAR ADC FOR ECG USING 45nm CMOS TECHNOLOGY
DESIGN OF LOW POWER SAR ADC FOR ECG USING 45nm CMOS TECHNOLOGY
 
High Performance Binary to Gray Code Converter using Transmission GATE
High Performance Binary to Gray Code Converter using Transmission GATE High Performance Binary to Gray Code Converter using Transmission GATE
High Performance Binary to Gray Code Converter using Transmission GATE
 
High Speed Low-Power Viterbi Decoder Using Trellis Code Modulation
High Speed Low-Power Viterbi Decoder Using Trellis Code ModulationHigh Speed Low-Power Viterbi Decoder Using Trellis Code Modulation
High Speed Low-Power Viterbi Decoder Using Trellis Code Modulation
 
High Speed Low-Power Viterbi Decoder Using Trellis Code Modulation
High Speed Low-Power Viterbi Decoder Using Trellis Code ModulationHigh Speed Low-Power Viterbi Decoder Using Trellis Code Modulation
High Speed Low-Power Viterbi Decoder Using Trellis Code Modulation
 
IRJET-Spectrum Allocation Policies for Flex Grid Network with Data Rate Limit...
IRJET-Spectrum Allocation Policies for Flex Grid Network with Data Rate Limit...IRJET-Spectrum Allocation Policies for Flex Grid Network with Data Rate Limit...
IRJET-Spectrum Allocation Policies for Flex Grid Network with Data Rate Limit...
 
A 8-bit high speed ADC using Intel μP 8085
A 8-bit high speed ADC using Intel μP 8085A 8-bit high speed ADC using Intel μP 8085
A 8-bit high speed ADC using Intel μP 8085
 
A NEW DATA ENCODER AND DECODER SCHEME FOR NETWORK ON CHIP
A NEW DATA ENCODER AND DECODER SCHEME FOR  NETWORK ON CHIPA NEW DATA ENCODER AND DECODER SCHEME FOR  NETWORK ON CHIP
A NEW DATA ENCODER AND DECODER SCHEME FOR NETWORK ON CHIP
 
Fixed-Outline 3-D IC Floor planning with TSV Co-Placement
Fixed-Outline 3-D IC Floor planning with TSV Co-PlacementFixed-Outline 3-D IC Floor planning with TSV Co-Placement
Fixed-Outline 3-D IC Floor planning with TSV Co-Placement
 
Design of Low Power High Speed 4-Bit TIQ Based CMOS Flash ADC
Design of Low Power High Speed 4-Bit TIQ Based CMOS Flash ADCDesign of Low Power High Speed 4-Bit TIQ Based CMOS Flash ADC
Design of Low Power High Speed 4-Bit TIQ Based CMOS Flash ADC
 
An Ultra-Low Power Robust Koggestone Adder at Sub-Threshold Voltages for Impl...
An Ultra-Low Power Robust Koggestone Adder at Sub-Threshold Voltages for Impl...An Ultra-Low Power Robust Koggestone Adder at Sub-Threshold Voltages for Impl...
An Ultra-Low Power Robust Koggestone Adder at Sub-Threshold Voltages for Impl...
 
AN ULTRA-LOW POWER ROBUST KOGGESTONE ADDER AT SUB-THRESHOLD VOLTAGES FOR IMPL...
AN ULTRA-LOW POWER ROBUST KOGGESTONE ADDER AT SUB-THRESHOLD VOLTAGES FOR IMPL...AN ULTRA-LOW POWER ROBUST KOGGESTONE ADDER AT SUB-THRESHOLD VOLTAGES FOR IMPL...
AN ULTRA-LOW POWER ROBUST KOGGESTONE ADDER AT SUB-THRESHOLD VOLTAGES FOR IMPL...
 
GENERIC SYSTEM VERILOG UNIVERSAL VERIFICATION METHODOLOGY BASED REUSABLE VERI...
GENERIC SYSTEM VERILOG UNIVERSAL VERIFICATION METHODOLOGY BASED REUSABLE VERI...GENERIC SYSTEM VERILOG UNIVERSAL VERIFICATION METHODOLOGY BASED REUSABLE VERI...
GENERIC SYSTEM VERILOG UNIVERSAL VERIFICATION METHODOLOGY BASED REUSABLE VERI...
 
IRJET- Low Power Adder and Multiplier Circuits Design Optimization in VLSI
IRJET- Low Power Adder and Multiplier Circuits Design Optimization in VLSIIRJET- Low Power Adder and Multiplier Circuits Design Optimization in VLSI
IRJET- Low Power Adder and Multiplier Circuits Design Optimization in VLSI
 
IRJET - High Speed Inexact Speculative Adder using Carry Look Ahead Adder...
IRJET -  	  High Speed Inexact Speculative Adder using Carry Look Ahead Adder...IRJET -  	  High Speed Inexact Speculative Adder using Carry Look Ahead Adder...
IRJET - High Speed Inexact Speculative Adder using Carry Look Ahead Adder...
 
VLSI Design of Low Power High Speed 4 Bit Resolution Pipeline ADC In Submicro...
VLSI Design of Low Power High Speed 4 Bit Resolution Pipeline ADC In Submicro...VLSI Design of Low Power High Speed 4 Bit Resolution Pipeline ADC In Submicro...
VLSI Design of Low Power High Speed 4 Bit Resolution Pipeline ADC In Submicro...
 

More from IRJET Journal

TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
IRJET Journal
 
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURESTUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
IRJET Journal
 
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
IRJET Journal
 
Effect of Camber and Angles of Attack on Airfoil Characteristics
Effect of Camber and Angles of Attack on Airfoil CharacteristicsEffect of Camber and Angles of Attack on Airfoil Characteristics
Effect of Camber and Angles of Attack on Airfoil Characteristics
IRJET Journal
 
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
IRJET Journal
 
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
IRJET Journal
 
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
IRJET Journal
 
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
IRJET Journal
 
A REVIEW ON MACHINE LEARNING IN ADAS
A REVIEW ON MACHINE LEARNING IN ADASA REVIEW ON MACHINE LEARNING IN ADAS
A REVIEW ON MACHINE LEARNING IN ADAS
IRJET Journal
 
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
IRJET Journal
 
P.E.B. Framed Structure Design and Analysis Using STAAD Pro
P.E.B. Framed Structure Design and Analysis Using STAAD ProP.E.B. Framed Structure Design and Analysis Using STAAD Pro
P.E.B. Framed Structure Design and Analysis Using STAAD Pro
IRJET Journal
 
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
IRJET Journal
 
Survey Paper on Cloud-Based Secured Healthcare System
Survey Paper on Cloud-Based Secured Healthcare SystemSurvey Paper on Cloud-Based Secured Healthcare System
Survey Paper on Cloud-Based Secured Healthcare System
IRJET Journal
 
Review on studies and research on widening of existing concrete bridges
Review on studies and research on widening of existing concrete bridgesReview on studies and research on widening of existing concrete bridges
Review on studies and research on widening of existing concrete bridges
IRJET Journal
 
React based fullstack edtech web application
React based fullstack edtech web applicationReact based fullstack edtech web application
React based fullstack edtech web application
IRJET Journal
 
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
IRJET Journal
 
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
IRJET Journal
 
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
IRJET Journal
 
Multistoried and Multi Bay Steel Building Frame by using Seismic Design
Multistoried and Multi Bay Steel Building Frame by using Seismic DesignMultistoried and Multi Bay Steel Building Frame by using Seismic Design
Multistoried and Multi Bay Steel Building Frame by using Seismic Design
IRJET Journal
 
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
IRJET Journal
 

More from IRJET Journal (20)

TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
 
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURESTUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
 
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
 
Effect of Camber and Angles of Attack on Airfoil Characteristics
Effect of Camber and Angles of Attack on Airfoil CharacteristicsEffect of Camber and Angles of Attack on Airfoil Characteristics
Effect of Camber and Angles of Attack on Airfoil Characteristics
 
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
 
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
 
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
 
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
 
A REVIEW ON MACHINE LEARNING IN ADAS
A REVIEW ON MACHINE LEARNING IN ADASA REVIEW ON MACHINE LEARNING IN ADAS
A REVIEW ON MACHINE LEARNING IN ADAS
 
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
 
P.E.B. Framed Structure Design and Analysis Using STAAD Pro
P.E.B. Framed Structure Design and Analysis Using STAAD ProP.E.B. Framed Structure Design and Analysis Using STAAD Pro
P.E.B. Framed Structure Design and Analysis Using STAAD Pro
 
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
 
Survey Paper on Cloud-Based Secured Healthcare System
Survey Paper on Cloud-Based Secured Healthcare SystemSurvey Paper on Cloud-Based Secured Healthcare System
Survey Paper on Cloud-Based Secured Healthcare System
 
Review on studies and research on widening of existing concrete bridges
Review on studies and research on widening of existing concrete bridgesReview on studies and research on widening of existing concrete bridges
Review on studies and research on widening of existing concrete bridges
 
React based fullstack edtech web application
React based fullstack edtech web applicationReact based fullstack edtech web application
React based fullstack edtech web application
 
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
 
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
 
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
 
Multistoried and Multi Bay Steel Building Frame by using Seismic Design
Multistoried and Multi Bay Steel Building Frame by using Seismic DesignMultistoried and Multi Bay Steel Building Frame by using Seismic Design
Multistoried and Multi Bay Steel Building Frame by using Seismic Design
 
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
 

Recently uploaded

DfMAy 2024 - key insights and contributions
DfMAy 2024 - key insights and contributionsDfMAy 2024 - key insights and contributions
DfMAy 2024 - key insights and contributions
gestioneergodomus
 
Electric vehicle and photovoltaic advanced roles in enhancing the financial p...
Electric vehicle and photovoltaic advanced roles in enhancing the financial p...Electric vehicle and photovoltaic advanced roles in enhancing the financial p...
Electric vehicle and photovoltaic advanced roles in enhancing the financial p...
IJECEIAES
 
New techniques for characterising damage in rock slopes.pdf
New techniques for characterising damage in rock slopes.pdfNew techniques for characterising damage in rock slopes.pdf
New techniques for characterising damage in rock slopes.pdf
wisnuprabawa3
 
ACEP Magazine edition 4th launched on 05.06.2024
ACEP Magazine edition 4th launched on 05.06.2024ACEP Magazine edition 4th launched on 05.06.2024
ACEP Magazine edition 4th launched on 05.06.2024
Rahul
 
Wearable antenna for antenna applications
Wearable antenna for antenna applicationsWearable antenna for antenna applications
Wearable antenna for antenna applications
Madhumitha Jayaram
 
Exception Handling notes in java exception
Exception Handling notes in java exceptionException Handling notes in java exception
Exception Handling notes in java exception
Ratnakar Mikkili
 
IEEE Aerospace and Electronic Systems Society as a Graduate Student Member
IEEE Aerospace and Electronic Systems Society as a Graduate Student MemberIEEE Aerospace and Electronic Systems Society as a Graduate Student Member
IEEE Aerospace and Electronic Systems Society as a Graduate Student Member
VICTOR MAESTRE RAMIREZ
 
Series of visio cisco devices Cisco_Icons.ppt
Series of visio cisco devices Cisco_Icons.pptSeries of visio cisco devices Cisco_Icons.ppt
Series of visio cisco devices Cisco_Icons.ppt
PauloRodrigues104553
 
digital fundamental by Thomas L.floydl.pdf
digital fundamental by Thomas L.floydl.pdfdigital fundamental by Thomas L.floydl.pdf
digital fundamental by Thomas L.floydl.pdf
drwaing
 
Generative AI leverages algorithms to create various forms of content
Generative AI leverages algorithms to create various forms of contentGenerative AI leverages algorithms to create various forms of content
Generative AI leverages algorithms to create various forms of content
Hitesh Mohapatra
 
Properties Railway Sleepers and Test.pptx
Properties Railway Sleepers and Test.pptxProperties Railway Sleepers and Test.pptx
Properties Railway Sleepers and Test.pptx
MDSABBIROJJAMANPAYEL
 
Presentation of IEEE Slovenia CIS (Computational Intelligence Society) Chapte...
Presentation of IEEE Slovenia CIS (Computational Intelligence Society) Chapte...Presentation of IEEE Slovenia CIS (Computational Intelligence Society) Chapte...
Presentation of IEEE Slovenia CIS (Computational Intelligence Society) Chapte...
University of Maribor
 
Manufacturing Process of molasses based distillery ppt.pptx
Manufacturing Process of molasses based distillery ppt.pptxManufacturing Process of molasses based distillery ppt.pptx
Manufacturing Process of molasses based distillery ppt.pptx
Madan Karki
 
5214-1693458878915-Unit 6 2023 to 2024 academic year assignment (AutoRecovere...
5214-1693458878915-Unit 6 2023 to 2024 academic year assignment (AutoRecovere...5214-1693458878915-Unit 6 2023 to 2024 academic year assignment (AutoRecovere...
5214-1693458878915-Unit 6 2023 to 2024 academic year assignment (AutoRecovere...
ihlasbinance2003
 
Low power architecture of logic gates using adiabatic techniques
Low power architecture of logic gates using adiabatic techniquesLow power architecture of logic gates using adiabatic techniques
Low power architecture of logic gates using adiabatic techniques
nooriasukmaningtyas
 
Understanding Inductive Bias in Machine Learning
Understanding Inductive Bias in Machine LearningUnderstanding Inductive Bias in Machine Learning
Understanding Inductive Bias in Machine Learning
SUTEJAS
 
ACRP 4-09 Risk Assessment Method to Support Modification of Airfield Separat...
ACRP 4-09 Risk Assessment Method to Support Modification of Airfield Separat...ACRP 4-09 Risk Assessment Method to Support Modification of Airfield Separat...
ACRP 4-09 Risk Assessment Method to Support Modification of Airfield Separat...
Mukeshwaran Balu
 
哪里办理(csu毕业证书)查尔斯特大学毕业证硕士学历原版一模一样
哪里办理(csu毕业证书)查尔斯特大学毕业证硕士学历原版一模一样哪里办理(csu毕业证书)查尔斯特大学毕业证硕士学历原版一模一样
哪里办理(csu毕业证书)查尔斯特大学毕业证硕士学历原版一模一样
insn4465
 
22CYT12-Unit-V-E Waste and its Management.ppt
22CYT12-Unit-V-E Waste and its Management.ppt22CYT12-Unit-V-E Waste and its Management.ppt
22CYT12-Unit-V-E Waste and its Management.ppt
KrishnaveniKrishnara1
 
Heat Resistant Concrete Presentation ppt
Heat Resistant Concrete Presentation pptHeat Resistant Concrete Presentation ppt
Heat Resistant Concrete Presentation ppt
mamunhossenbd75
 

Recently uploaded (20)

DfMAy 2024 - key insights and contributions
DfMAy 2024 - key insights and contributionsDfMAy 2024 - key insights and contributions
DfMAy 2024 - key insights and contributions
 
Electric vehicle and photovoltaic advanced roles in enhancing the financial p...
Electric vehicle and photovoltaic advanced roles in enhancing the financial p...Electric vehicle and photovoltaic advanced roles in enhancing the financial p...
Electric vehicle and photovoltaic advanced roles in enhancing the financial p...
 
New techniques for characterising damage in rock slopes.pdf
New techniques for characterising damage in rock slopes.pdfNew techniques for characterising damage in rock slopes.pdf
New techniques for characterising damage in rock slopes.pdf
 
ACEP Magazine edition 4th launched on 05.06.2024
ACEP Magazine edition 4th launched on 05.06.2024ACEP Magazine edition 4th launched on 05.06.2024
ACEP Magazine edition 4th launched on 05.06.2024
 
Wearable antenna for antenna applications
Wearable antenna for antenna applicationsWearable antenna for antenna applications
Wearable antenna for antenna applications
 
Exception Handling notes in java exception
Exception Handling notes in java exceptionException Handling notes in java exception
Exception Handling notes in java exception
 
IEEE Aerospace and Electronic Systems Society as a Graduate Student Member
IEEE Aerospace and Electronic Systems Society as a Graduate Student MemberIEEE Aerospace and Electronic Systems Society as a Graduate Student Member
IEEE Aerospace and Electronic Systems Society as a Graduate Student Member
 
Series of visio cisco devices Cisco_Icons.ppt
Series of visio cisco devices Cisco_Icons.pptSeries of visio cisco devices Cisco_Icons.ppt
Series of visio cisco devices Cisco_Icons.ppt
 
digital fundamental by Thomas L.floydl.pdf
digital fundamental by Thomas L.floydl.pdfdigital fundamental by Thomas L.floydl.pdf
digital fundamental by Thomas L.floydl.pdf
 
Generative AI leverages algorithms to create various forms of content
Generative AI leverages algorithms to create various forms of contentGenerative AI leverages algorithms to create various forms of content
Generative AI leverages algorithms to create various forms of content
 
Properties Railway Sleepers and Test.pptx
Properties Railway Sleepers and Test.pptxProperties Railway Sleepers and Test.pptx
Properties Railway Sleepers and Test.pptx
 
Presentation of IEEE Slovenia CIS (Computational Intelligence Society) Chapte...
Presentation of IEEE Slovenia CIS (Computational Intelligence Society) Chapte...Presentation of IEEE Slovenia CIS (Computational Intelligence Society) Chapte...
Presentation of IEEE Slovenia CIS (Computational Intelligence Society) Chapte...
 
Manufacturing Process of molasses based distillery ppt.pptx
Manufacturing Process of molasses based distillery ppt.pptxManufacturing Process of molasses based distillery ppt.pptx
Manufacturing Process of molasses based distillery ppt.pptx
 
5214-1693458878915-Unit 6 2023 to 2024 academic year assignment (AutoRecovere...
5214-1693458878915-Unit 6 2023 to 2024 academic year assignment (AutoRecovere...5214-1693458878915-Unit 6 2023 to 2024 academic year assignment (AutoRecovere...
5214-1693458878915-Unit 6 2023 to 2024 academic year assignment (AutoRecovere...
 
Low power architecture of logic gates using adiabatic techniques
Low power architecture of logic gates using adiabatic techniquesLow power architecture of logic gates using adiabatic techniques
Low power architecture of logic gates using adiabatic techniques
 
Understanding Inductive Bias in Machine Learning
Understanding Inductive Bias in Machine LearningUnderstanding Inductive Bias in Machine Learning
Understanding Inductive Bias in Machine Learning
 
ACRP 4-09 Risk Assessment Method to Support Modification of Airfield Separat...
ACRP 4-09 Risk Assessment Method to Support Modification of Airfield Separat...ACRP 4-09 Risk Assessment Method to Support Modification of Airfield Separat...
ACRP 4-09 Risk Assessment Method to Support Modification of Airfield Separat...
 
哪里办理(csu毕业证书)查尔斯特大学毕业证硕士学历原版一模一样
哪里办理(csu毕业证书)查尔斯特大学毕业证硕士学历原版一模一样哪里办理(csu毕业证书)查尔斯特大学毕业证硕士学历原版一模一样
哪里办理(csu毕业证书)查尔斯特大学毕业证硕士学历原版一模一样
 
22CYT12-Unit-V-E Waste and its Management.ppt
22CYT12-Unit-V-E Waste and its Management.ppt22CYT12-Unit-V-E Waste and its Management.ppt
22CYT12-Unit-V-E Waste and its Management.ppt
 
Heat Resistant Concrete Presentation ppt
Heat Resistant Concrete Presentation pptHeat Resistant Concrete Presentation ppt
Heat Resistant Concrete Presentation ppt
 

Power Optimized Transmitter for Future Switched Network

  • 1. International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056 Volume: 04 Issue: 09 | Sep -2017 www.irjet.net p-ISSN: 2395-0072 © 2017, IRJET | Impact Factor value: 5.181 | ISO 9001:2008 Certified Journal | Page 569 Power Optimized Transmitter for Future Switched Network Omkar C. Mane1, Prof. Usha Jadhav2 1Dept. of Electronics and Telecommunication Engg. D. Y. Patil College of Engineering, Akurdi Pune, India 2Faculty of Dept. of Electronics and Telecommunication Engg. D. Y. Patil College of Engineering, Akurdi Pune, India ---------------------------------------------------------------------***------------------------------------------------------------------- Abstract - Network equipment power consumption is under increased scrutiny. To understand transmitter power consumption, Combination of CMOS and MOS current mode logic (MCML) is used and characterize power consumption using Tanner EDA Tool 13.0. For optical transmitters, weshow that photonic components and front end drivers only consume a small fraction (<22%) of total serial transmitter power. This implies that the power of optical transmitter is reduced can only be obtained by paying attention to the physical layer. We propose a physical layer protocol suitable for optically switched links that retains the beneficial transmission characteristics of 8b/10b, but, even without power gating and voltage controlled oscillator power optimization, reduces the power consumption during idleperiods by29%compared with a conventional 8b/10b transmitter. We have made the toolkit available to the community at large in the hope of stimulating work in this field. 1. INTRODUCTION The persistent growth in network traffic advanced by recent developments, such as video sharing, IPTV, and cloud-based storage, is causing increased demands on the network switching capacity and energy consumption at the Internet core and within data centers. Increasing the capacity of current high-bandwidth electronic switches is not only technically demanding it also leads to higher thermal dissipation. This leads not only to interconnect technologies with high connectivity and capacity, but also lower latency, power consumption, and cost. Among these, the energy performance of networked systems has become a first class property of interest to industry and researchers. It has been shown that to make large energy savings through energy- proportionality current computer systems must be made to do nothing well: minimizing consumption when not in use. Optical networks continue to deliver on the promise of bandwidth, latency, and low power utilization but if optical switch fabrics are to continue meeting their promise asa key component in future energy-proportional systems. Then, we need a generation of high-speed transmitter designed with energy proportionality as the first class property. Transceiver designhasbeen focuseduponproviding high reliability with ever-higher levels of link capacity (bandwidth) to meet ever-growing needs to interconnect computer devices. This has led to optical transceivers that are always on, exchanging information to remain synchronized even when carrying no data. Such designs suit point-to-point link communications, providing implicit information about the point-to-point link status, even when no data is carried. There is a wide range of transceivers, with electronics to drive twisted paircopper,multichannel coaxial copper, and a range of optical systems. Current commercial optical 10 Gb/s transceivers have lower power consumption than twisted-pair serial transceivers due to a lower complexity physical (PHY) layer,1. Yet,inweshowedthat the popular 8b/10b coding scheme can consume more power when transmitting idle frames than when transmitting data. Finally, a further power consumption incentive comes from the increasing move of communication endpoints to on-chip in silicon-on-chip (SoC) processors. With predictions that a growing proportion of the chipwill needto bepowergatedat any one time, the so called dark silicon effect. The serial electronic transceivers, which provide several Tb/s of off- chip bandwidth required in high-performance SoC processors, are already consuming >20% of the total power. Silicon photonics has been widely proposed as one of the solutions to the processor communications bottleneck and energy issues. However, we show that optical transceiver power is dominated by other physical layer (PHY) functions such as serialization/deserialization (SERDES), clock recovery, and line coding. Hence, a simplistic change from electronic to optical transmitter will not reduce power consumption without an accompanying change to the PHY layer. Furthermore, at the packet timescale, an optical switched system sets a new optical pathway to each destination. Thus, the physical layer need not remain operating when idle and, without system wide time synchronization, an optical packet-switch proposal uses burst-mode receivers capable of fast locking to incoming packets each having different frequency, phase, and amplitude. This requires per packetclock recoverydesignsin a new PHY implementation. Synchronization, an optical packet-switch proposal uses burst-mode receiverscapableoffastlockingtoincoming packets each having different frequency, phase, and amplitude. This requires per packetclock recoverydesignsin a new PHY implementation.
  • 2. International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056 Volume: 04 Issue: 09 | Sep -2017 www.irjet.net p-ISSN: 2395-0072 © 2017, IRJET | Impact Factor value: 5.181 | ISO 9001:2008 Certified Journal | Page 570 2. Transmitter design This section describes the main functional circuit blocks of the transceiver. Top-level representations of the transmitter and receiver are shown in Figs. 1 . Initially, the transmitter design was aimed at a payload bit rate (before adding coding overhead) of 10 Gb/s. In a later work, we characterized the circuits at different bit rates to investigate optimum bit rate versus power operating points. Fig 1. Proposed block diagram of optical transmitter I. Line Coding The functions of the coding block include dc balance, byte alignment within the serial stream, and error detection. We consider two popular encoding schemes: 8b/10b block code and the scrambler-based64B/66B.8b/10b representsa class of parity–disparity dc-balanced codes that map arriving 8-b symbols into a 10-b code words using predefined code groups at run time on the word-by-word basis. The code limits the run length of identical symbols to remove baseline wander in AC-coupled receivers and guarantee the required transition density for clock synchronization. 8b/10b coding has excellent transmission properties but has a bit rate overhead of 25%. For this reason, the hybrid-scrambled 64B/66B encoding scheme was selected for 10 Gb/s Ethernet, which reduces the overhead to 3%. The encoding module performs a framing function by transformingthe 64- b data and 8-b control inputs into a 66-b block. Each 64-b data word is scrambled with a 58th degree polynomial to ensure statistical dc-balance and transition densityanda 2-b synchronization headerisappendedtoallowframedetection and alignment to be performed. Fig. 3 shows block diagrams of the two alternative coding schemes in the transmitter. In the 64B/66B case, the transmitter accepts 64-b data at 156.25 MHz and carries out encoding and scrambling. The resulting 66-b are converted by the gearboxto64-binterface at 161.13 MHz for more efficient serialization. In the 8b/10b case, we implemented versions with both 8-b wide client- side data interface running at 1.25 GHz and a dual encoder with a 16-b interface operating at 625 MHz. Figs.3 show the 16-b interface. In all cases, phase differences between the coding block and client-side interface are compensated by a first-in first-out (FIFO) buffer. 2. Scrambler Fig2. Scrambler operation The first bit in sequence s1is summed modulo-2 with the modulo-2 sum of location 2 and 5 in the shift register. This sum becomes the first bit in bit sequence s2. As this bit is presented to the channel, the contents of the shift register are shifted up one stage as follow: 5 out, 4 goes to 5, 5goes to 3, 3 goes to 4, 2 goes to 3, 1 goes to 2. The first bit in s2 is also placed in shift register stage 1.The next bit of sequence s1 arrives, and the procedure is repeated. II. Serialization and Deserialization The SERDES circuits convert between the low-speedparallel data and a high-speed serial bit stream. The multiplexing ratios depend on the coding scheme used. In the case of 64B/66B, 64-b sequences at 161.13 MHz are converted to 10.3125 Gb/s using a 64:1 ratio. In contrast, a transceiver with 8b/10b coding performs either 10:1 or 20:1 multiplexing producing a line rate of 12.5 Gb/s. As shown in Figs 3 the SERDES circuits are implementedina combination of static CMOS and MCML. To find a power-efficient SERDES design, we engineered a variety of configurations. For example, for 64B/66B, we investigated 64:1 SERDES based on 64:N CMOS and N:1 MCML circuits where N = 2, 4, or 8 (referred to throughout the rest of this paper as 64:N:1). In a similar way, we investigated 8b/10b SERDES using 20:N:1 (dual encoder) and 10:N:1 (single encoder) cases, with N = 2 or 4.
  • 3. International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056 Volume: 04 Issue: 09 | Sep -2017 www.irjet.net p-ISSN: 2395-0072 © 2017, IRJET | Impact Factor value: 5.181 | ISO 9001:2008 Certified Journal | Page 571 Fig 3. CMOS and MCML combination for serialization and deserialization. The CMOS SERDES circuits are implemented as shift registers. The MCML circuits were implemented as binary tree multiplexers constructed by cascading 2:1 multiplexer cells, frequency dividers, and delay lines, which were manually optimized for the required bandwidth and timing operation. Fig. 5 shows an example of a 64:8:1 SERDES. III. Transmitter Phase-Locked Loop Commonly used phase-locked loop (PLL) and CDR circuits often use multiple stages to facilitate a stable and consistent operation. This redundancy usually delivers high performance but the synchronization process takes a relatively long time to achieve a stable lock. The simplicity of our CDR design guarantees a fast locking time (≤10 clock cycles) and maximum power and area efficiency. Although realistic CDR implementation may require some modifications to the design to account for factors such as minor impedance mismatch, capacitive and inductive resistance variations, and so on, we believe that the power figures will be representative of real circuits. IV. Channel Bonding To find the power consequences of using multiple lower bit rate serial streams rather than a single serial channel, we designed a channel bonding circuit in Verilog, which eliminates skew between multiple channels using a separate FIFO. In an optical link, these channels could be either space or wavelength division multiplexed. We tested the circuit operating on the output of two 8b/10b client-side streams, but the Verilog model isparameterizedforhighernumbersof channels. The circuit is designed for burst-mode operation. 3. Circuit design To find the power consequences of using multiple lower bit rate serial streams rather than a single serial channel, we designed a channel bonding circuit in Verilog, which eliminates skew between multiple channels using a separate FIFO. In an optical link, these channels could be either space or wavelength division multiplexed. We tested the circuit operating on the output of two 8b/10b client-side streams, but the Verilog model isparameterizedforhighernumbersof channels. The circuit is designed for burst-mode operation. A. Design of CMOS Circuits Design of the static CMOS circuits started with Register Transfer Level (RTL) Verilog hardware descriptionlanguage descriptions and synthesized using Synopsys Design Compiler with a commercially available 45-nm standard cell library. Constraints wereset tominimize powerconsumption at the required operating frequency. The typical clock frequency margin used for synthesis is considered to be at least 15% faster than the nominal frequency value. The synthesized Verilog netlist was simulated using Mentor Graphics ModelSim to verify correct operation and store activity data for dynamic power analysis. The input stimulus for the simulations was extracted from realistic 10 Gb/s Ethernet trace files and analyzed under: 1) continuous data transmission and 2) continuous idle transmission input setups. Synopsys Prime Time was used to generate power consumption data for each circuit block. B. Design of MCML Circuits Although new generations of CMOS technologies continuously improve their performance and power characteristics due to scaling, CMOS circuits are prone to generate a highlevel supply noise while operating at high speeds. The noise factor limits the on-chip integration of digital blocks with their analog counterparts. Logic families with differential signaling, such as MCML are characterized by an improved noise immunity and high-speed operation. The speed advantage is achieved by the fact that the current, generated by a constant current source, is steered betweena pair of fully differential transistors and produces a reduced swing voltage drop at outputs (in combination with specific voltage gains), reducing the generation of logic level switching noise. It must be noted though that the presenceof the current sink implies a constant power dissipation irrespective to the operating frequency or input sequence applied. Power dissipation in MCML circuitisdominated bya static power (P = Vdd× Iss) and is independent of the operating frequency. In this paper, an MCML cell library was developed. The design process used the transistor models supplied with the 45-nm CMOS standard cell library and a
  • 4. International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056 Volume: 04 Issue: 09 | Sep -2017 www.irjet.net p-ISSN: 2395-0072 © 2017, IRJET | Impact Factor value: 5.181 | ISO 9001:2008 Certified Journal | Page 572 semianalytical methodology developed in HSPICE environment for cells opti-mization. To satisfy the required performance criteria of high- speed operation and minimize power dissipation of individual gates, we used HSPICE optimization solver. This allowed us to produce the bestcase parameter variation model for a specific subset of supply voltages, voltage swings and biasing currents selected as the input characteristics. Appendix describes the design and optimization process for the MCML cell libraryindetail.Once the MCML cell library optimization process was complete, design of serialization, deserialization, and CDR circuits was performed. Correct operation was verified and power measured using SPICE simulation. 4 MCML DESIGN AND OPTIMIZATION- Design of MCML circuits requires optimization of a large number of parameters. Previous work in the field provided an analytical description of all parameters used in the MCML logic design process and reviewed the impact of these on performance/power response. In this paper, we developed an optimization toolkit, which allows deriving an MCML cell library parameters in an automated way via using standard SPICE descriptions of MOSFET transistors and satisfying the specific criteria in power efficiency and performance measured as system’s outputs. In the following section, we review the major operation principles and properties of a typical MCML cell and provide the optimization procedure used throughout the cell development process. A typical MCML gate is composed of three main blocks the pull-up network, implemented as a set of resistors or active p-MOS loads, the fully differential pull-downnetwork,which steers the current between the branches, and the current source. The performance of a gate is a function of various metrics and is determined/evaluated by the corresponding adjustments made in transistor sizing, biasing voltages, reference currents/voltages, and differential voltageswings. The operation of a standard MCML inverter cell can be described as follows. Due to presence of active loads R, a voltage drop V = I × R is produced, permitting logical 1 and 0 states to be represented as V dd and V dd – V voltages, respectively. The use of active loads, implemented as p-MOS transistors conducting in the linear region (assumed to provide a roughly linear transfer function response), allows online adaptabilitythathelpscompensatinganyspontaneous variations inside the circuit. Typical resistance values are in the order of 10 s of Ks and require sink currents to be in the order of couple of hundreds microamperes. The increase in transistor sizing, i.e., WP/L P ratio, lowers the load resistance, and, as a rule, propagation delay of inverter circuit; it is also followed by reduction in saturation voltage of the p-MOS loads causing degradation in linear response. An example of biasing circuit that is used for parameter’s adjustment Fig. 4. MCML inverter cell. 5. PREPROCESSING RESULTS AND HARDWARE IMPLEMENTATION A . Front end Proposed transmitter is consist of both front end and back end. Front end is consist of different block such as FIFO, encoder, bitslip, this block’s are implemented by using Verilog code. RTL schematic of top level module is shown in fig 5. Fig 5. RTL schematic of frontend Front of proposed transmitter is designed by using VERILOG code to understand the performance of understand. The design of front end is accomplished by using XILINX 14.7 tool. Simulation result is shown in fig. 6
  • 5. International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056 Volume: 04 Issue: 09 | Sep -2017 www.irjet.net p-ISSN: 2395-0072 © 2017, IRJET | Impact Factor value: 5.181 | ISO 9001:2008 Certified Journal | Page 573 Fig. 6 Final simulation result of Front end. Backend result- Backend of proposed transmitter is consist of 64:1 multiplexer and it is a combination of combination of MCML and CMOS circuit and it is implemented byusingTannerEDA tool. Fig. 7 Schematic of 2: 1 MUX MCML circuit Backend of proposed transmitter is designed to understand performance of MCML and CMOS circuit Simulation accomplished in Tanner EDA 13.0byusing45nmtechnology. S-edit of Tanner EDA is used in order to create the schematics of the circuit. Simulation result shown in fig.7 Fig. 15 Final simulation result of 64:N bit MUX. Table 1 : Simulation results of MCML and CMOS 64 MUX. 45nm process vdd Delay [ns] Power [μW] AND gate 0.9 0.019 0.38 NAND gate 0.9 0.019 0.38 INVERTER 0.9 0.00023 0.00198 2:1 MUX MCML 0.9 4.75 41.67 6. Conclusion We note that, as ultralow energy silicon photonic communication components become commonplace, the power consumption of the other transceiver components must become the focus for major reductions in transceiver power. Such reductions can only be obtained with attention to the physical layer circuits and protocols of which SERDES is the largest component. Our results show that the high- speed subsystem, incorporating SERDES, CDR, and clock recovery, can, despite relatively simple logic, consume50%– 60% of the total power. This is largely due to the integration of standard CMOS and differential MCML components operating at a high clock rate
  • 6. International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056 Volume: 04 Issue: 09 | Sep -2017 www.irjet.net p-ISSN: 2395-0072 © 2017, IRJET | Impact Factor value: 5.181 | ISO 9001:2008 Certified Journal | Page 574 References 1. R. S. Tucker, “Green optical communications—Part II: Energy limitations in networks,” IEEE J. Sel. Topics Quantum Electron., vol. 17, no. 2, pp. 261– 274, Mar./Apr. 2011. 2. D. A. Miller, “Rationale and challenges for optical interconnects to electronic chips,”Proc. IEEE,vol.88, no. 6, pp. 728–749, Jun. 2000 3. D. Huang, T. Sze, A. Landin, R. Lytel, and H. L. Davidson, “Optical interconnects: Out of the box forever?” IEEE J. Sel. Topics QuantumElectron.,vol.9, no. 2, pp. 614–623, Mar./Apr. 2003. 4. L. A. Barroso and U. Holzle, “The case for energy- proportional 5. computing,” IEEE Comput., vol. 40, no. 12,pp.33–37, Dec. 2007. 6. O’Connor, “Optical solutions for system-level interconnect,” in Proc. Int. Workshop Syst. Level Interconnect Predict., 2004, pp. 79–88.
  • 7. International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056 Volume: 04 Issue: 09 | Sep -2017 www.irjet.net p-ISSN: 2395-0072 © 2017, IRJET | Impact Factor value: 5.181 | ISO 9001:2008 Certified Journal | Page 575