This document summarizes a research paper that proposes a new physical layer protocol for optical transmitters in switched networks to reduce power consumption during idle periods. The researchers designed a transmitter using a combination of CMOS and MOS current mode logic (MCML) circuits and characterized the power consumption. They found that existing 8b/10b line coding consumes more power during idle frames than data frames. To address this, they propose a new physical layer protocol based on 8b/10b that reduces idle power consumption by 29% without power gating or voltage optimization. The goal is to stimulate work on developing high-speed transmitters optimized for energy proportionality.
A 10-BIT 25 MS/S PIPELINED ADC USING 1.5-BIT SWITCHED CAPACITANCE BASED MDAC ...IAEME Publication
This document summarizes a research paper on the design of a 10-bit, 25 MS/s pipelined analog-to-digital converter (ADC) using a 1.5-bit switched capacitance multiplying digital-to-analog converter (MDAC) with opamp sharing in a 180nm CMOS process. Key aspects covered include:
1) The proposed ADC architecture is a 9-stage pipelined design with 1.5-bit per stage and error correction. Each MDAC stage samples the input, quantizes to 1.5 bits, subtracts the DAC output, and amplifies the residue by 2.
2) To reduce power, an opamp sharing technique is used where
Negative image amplifier technique for performance enhancement of ultra wideb...IJECEIAES
The paper aims at designing of two stage cascaded ultra-wideband (UWB) low noise amplifier (LNA) by using negative image amplifier technique. The objective of this article is to show the performance improvement using negative image amplifier technique and realization of negative valued lumped elements into microstrip line geometry. The innovative technique to realize the negative lumped elements are carried out by using Richard’s Transformation and transmission line calculation. The AWR microwave office tool is used to obtain characteristics of UWB LNA design with hybrid microwave integrated circuit (HMIC) technology. The 2-stage cascaded LNA design using negative image amplifier technique achieves average gain of 23dB gain and low noise figure of less than 2dB with return loss less than -8dB for UWB 3-10GHz. The Proper bias circuit is extracted using DC characteristics of transistor at biasing point 2V, 20mA and discussed in detail with LNA layout. The negative image matching technique is applied for both input and output matching network. This work will be useful for all low power UWB wireless receiver applications.
IRJET- A Novel Design of Hybrid 2 Bit Magnitude ComparatorIRJET Journal
This document proposes and evaluates several novel hybrid circuit designs for a 2-bit magnitude comparator that aim to reduce power consumption. It first describes existing comparator circuit designs using different logic styles and their limitations. It then introduces four new hybrid designs that combine Pass Transistor Logic (PTL) and Gate Diffusion Input (GDI) styles, and incorporates a Self Controllable Voltage Level (SVL) technique to further reduce power. Simulation results show the PTL-GDI 4 design with SVL achieves the greatest power reduction compared to conventional designs, demonstrating over 50% lower power consumption.
Implementation and analysis of power reduction in 2 to 4 decoder design using...eSAT Publishing House
IJRET : International Journal of Research in Engineering and Technology is an international peer reviewed, online journal published by eSAT Publishing House for the enhancement of research in various disciplines of Engineering and Technology. The aim and scope of the journal is to provide an academic medium and an important reference for the advancement and dissemination of research results that support high-level learning, teaching and research in the fields of Engineering and Technology. We bring together Scientists, Academician, Field Engineers, Scholars and Students of related fields of Engineering and Technology
A Two-stages Microstrip Power Amplifier for WiMAX ApplicationsTELKOMNIKA JOURNAL
Amplification is one of the most basic and prevalent microwave analog circuit functions. Wherefore
power amplifiers are the most important parts of electronic circuits. This is why the designing of power
amplifiers is crucial in analog circuit designing. The intent of this work is to present an analysis and design
of a microwave broadband power amplifier by using two stages topology. A two stages power amplifier using
a distributed matching network for WiMAX applications is based on ATF-21170 (GaAs FET). The
configuration aims to achieve high power gain amplifier with low return loss over a broad bandwidth. The
proposed BPA is designed with a planar structure on an epoxy (FR4) substrate. The planar structure is also
utilized for getting the good matching condition. The advanced design system (ADS) software is used for
design, simulation, and optimization the proposed amplifier. The complete amplifier achieves an excellent
power gain; is changed between 28.5 and 20 dB with an output power of 12.45dBm at 1dB compression
point. For the input reflection coefficient (S11) is varied between -20 and -42 dB. While the output reflection
coefficient (S22) is varied between -10 dB and -49 dB over the wide frequency band of 3.2-3.8 GHz.
IRJET- Fin FET Two Bit Comparator for Low Voltage, Low Power, High Speed and ...IRJET Journal
This document presents a design for a 2-bit Fin FET comparator for low voltage, low power, high speed, and low area applications in 18nm technology. Simulation results show that the proposed Fin FET comparator reduces dynamic power by 90%, leakage power by 87%, delay by 73%, and area by 60% compared to a conventional design. The Fin FET comparator was designed and simulated using Cadence tools at a supply voltage of 0.5V in 18nm technology. Comparisons of the Fin FET and conventional designs show improvements in power, speed, and area with voltage scaling.
A Review of Low-Energy 1-Bit Full Adder Techniques for Power Deprived Applica...ijsrd.com
In this work a comparison and study of different low power 1-bit full adder techniques at deep submicron technologies is carried out. The study concentrates in the crucial factors which determine the applicability of the design for particular applications. The comparison of different adders has been carried out on the basis of these parameters i.e. delay, power consumption, output swing, PDP etc. The comparison is carried out between designs with low device count. On the basis of comparison a conclusion has been drawn in which the shortcomings of present designs have been discussed with future possibilities of improvement. The designs compared are TGA, SERF and modified SERF.
IRJET- Design and Simulation of 12-Bit Current Steering DACIRJET Journal
This document describes the design and simulation of a 12-bit current steering digital-to-analog converter (DAC). It begins with an abstract that outlines the need to convert analog signals to digital for processing and then back to analog. It then discusses the objectives of designing a 12-bit segmented current steering DAC using a 180nm process with 3V supply at 2GHz speed. The document reviews current steering DAC architecture and its advantages of speed and accuracy. It then provides details on the design of a 3-bit current steering DAC segment including a binary-to-thermometer decoder, switch driver, differential switch, and cascode current mirror.
A 10-BIT 25 MS/S PIPELINED ADC USING 1.5-BIT SWITCHED CAPACITANCE BASED MDAC ...IAEME Publication
This document summarizes a research paper on the design of a 10-bit, 25 MS/s pipelined analog-to-digital converter (ADC) using a 1.5-bit switched capacitance multiplying digital-to-analog converter (MDAC) with opamp sharing in a 180nm CMOS process. Key aspects covered include:
1) The proposed ADC architecture is a 9-stage pipelined design with 1.5-bit per stage and error correction. Each MDAC stage samples the input, quantizes to 1.5 bits, subtracts the DAC output, and amplifies the residue by 2.
2) To reduce power, an opamp sharing technique is used where
Negative image amplifier technique for performance enhancement of ultra wideb...IJECEIAES
The paper aims at designing of two stage cascaded ultra-wideband (UWB) low noise amplifier (LNA) by using negative image amplifier technique. The objective of this article is to show the performance improvement using negative image amplifier technique and realization of negative valued lumped elements into microstrip line geometry. The innovative technique to realize the negative lumped elements are carried out by using Richard’s Transformation and transmission line calculation. The AWR microwave office tool is used to obtain characteristics of UWB LNA design with hybrid microwave integrated circuit (HMIC) technology. The 2-stage cascaded LNA design using negative image amplifier technique achieves average gain of 23dB gain and low noise figure of less than 2dB with return loss less than -8dB for UWB 3-10GHz. The Proper bias circuit is extracted using DC characteristics of transistor at biasing point 2V, 20mA and discussed in detail with LNA layout. The negative image matching technique is applied for both input and output matching network. This work will be useful for all low power UWB wireless receiver applications.
IRJET- A Novel Design of Hybrid 2 Bit Magnitude ComparatorIRJET Journal
This document proposes and evaluates several novel hybrid circuit designs for a 2-bit magnitude comparator that aim to reduce power consumption. It first describes existing comparator circuit designs using different logic styles and their limitations. It then introduces four new hybrid designs that combine Pass Transistor Logic (PTL) and Gate Diffusion Input (GDI) styles, and incorporates a Self Controllable Voltage Level (SVL) technique to further reduce power. Simulation results show the PTL-GDI 4 design with SVL achieves the greatest power reduction compared to conventional designs, demonstrating over 50% lower power consumption.
Implementation and analysis of power reduction in 2 to 4 decoder design using...eSAT Publishing House
IJRET : International Journal of Research in Engineering and Technology is an international peer reviewed, online journal published by eSAT Publishing House for the enhancement of research in various disciplines of Engineering and Technology. The aim and scope of the journal is to provide an academic medium and an important reference for the advancement and dissemination of research results that support high-level learning, teaching and research in the fields of Engineering and Technology. We bring together Scientists, Academician, Field Engineers, Scholars and Students of related fields of Engineering and Technology
A Two-stages Microstrip Power Amplifier for WiMAX ApplicationsTELKOMNIKA JOURNAL
Amplification is one of the most basic and prevalent microwave analog circuit functions. Wherefore
power amplifiers are the most important parts of electronic circuits. This is why the designing of power
amplifiers is crucial in analog circuit designing. The intent of this work is to present an analysis and design
of a microwave broadband power amplifier by using two stages topology. A two stages power amplifier using
a distributed matching network for WiMAX applications is based on ATF-21170 (GaAs FET). The
configuration aims to achieve high power gain amplifier with low return loss over a broad bandwidth. The
proposed BPA is designed with a planar structure on an epoxy (FR4) substrate. The planar structure is also
utilized for getting the good matching condition. The advanced design system (ADS) software is used for
design, simulation, and optimization the proposed amplifier. The complete amplifier achieves an excellent
power gain; is changed between 28.5 and 20 dB with an output power of 12.45dBm at 1dB compression
point. For the input reflection coefficient (S11) is varied between -20 and -42 dB. While the output reflection
coefficient (S22) is varied between -10 dB and -49 dB over the wide frequency band of 3.2-3.8 GHz.
IRJET- Fin FET Two Bit Comparator for Low Voltage, Low Power, High Speed and ...IRJET Journal
This document presents a design for a 2-bit Fin FET comparator for low voltage, low power, high speed, and low area applications in 18nm technology. Simulation results show that the proposed Fin FET comparator reduces dynamic power by 90%, leakage power by 87%, delay by 73%, and area by 60% compared to a conventional design. The Fin FET comparator was designed and simulated using Cadence tools at a supply voltage of 0.5V in 18nm technology. Comparisons of the Fin FET and conventional designs show improvements in power, speed, and area with voltage scaling.
A Review of Low-Energy 1-Bit Full Adder Techniques for Power Deprived Applica...ijsrd.com
In this work a comparison and study of different low power 1-bit full adder techniques at deep submicron technologies is carried out. The study concentrates in the crucial factors which determine the applicability of the design for particular applications. The comparison of different adders has been carried out on the basis of these parameters i.e. delay, power consumption, output swing, PDP etc. The comparison is carried out between designs with low device count. On the basis of comparison a conclusion has been drawn in which the shortcomings of present designs have been discussed with future possibilities of improvement. The designs compared are TGA, SERF and modified SERF.
IRJET- Design and Simulation of 12-Bit Current Steering DACIRJET Journal
This document describes the design and simulation of a 12-bit current steering digital-to-analog converter (DAC). It begins with an abstract that outlines the need to convert analog signals to digital for processing and then back to analog. It then discusses the objectives of designing a 12-bit segmented current steering DAC using a 180nm process with 3V supply at 2GHz speed. The document reviews current steering DAC architecture and its advantages of speed and accuracy. It then provides details on the design of a 3-bit current steering DAC segment including a binary-to-thermometer decoder, switch driver, differential switch, and cascode current mirror.
Quantum-dot Cellular Automata (QCA) is an alternative innovation to the
Complementary Metal Oxide Semiconductor (CMOS) because CMOS has scaling
limitations that lead to high leakage power. QCA is structured on quantum cells, whose
sizes are on the nanoscale. This component causes faults in QCA circuits. Converting
a code into another that is programmed in logic arrays becomes important in the
physical realization of the circuits. There are many methods to resolve this problem in
circuits. A code converter is a solution to convert one code into another. In this paper,
QCA-based “4-bit binary-to-gray” and “4-bit gray-to-binary code converters” are
suggested. The offered layout prospects to a decrease in energy expenditure and can
be utilized in many fields for shielding data from outsiders and increasing information
flexibility. We executed a relative analysis of the suggested design with present earlier
designs and turned out that the suggested layout is productive on condition that
complexity, cell count, area intake, and clocking. This paper offers a streamlined design
and layout concerning code converters depending on QCA. These structures are
designed with the QCADesigner, simulator and the simulation results are examined.
Area Versus Speed Trade-off Analysis of a WiMAX Deinterleaver Circuit Designijsrd.com
Trade-off is one of the main design parameters in the field of electronic circuit design. Whereas smaller electronics devices which use less hardware due to techniques like hardware multiplexing or due to smaller devices created due to techniques developed by nanotechnology and MEMS, are more appealing, a trade-off between area, power and speed is inevitable. This paper analyses the trade-off in the design of Wimax deinterleaver. The main aim is to reduce the hardware utilization in a deinterleaver but speed and power consumption are important parameters which cannot be overlooked.
A 130-NM CMOS 400 MHZ 8-Bit Low Power Binary Weighted Current Steering DAC ijcisjournal
A low power low voltage 8-bit Digital to Analog Converter consisting of different current sources in binary
weighted array architecture is designed. The weights of current sources are depending on the binary
weights of the bits. This current steering DAC is suitable for high speed applications. The proposed DAC in
this paper has DNL, INL of ±0.04, ±0.05 respectively and the power consumption of 16.67mw.
This binary array architecture is implemented in CMOS 0.13µm 1P2M technology has good performances
in DNL, INL and area compared with other researches.
This document summarizes a research paper that proposes two novel hybrid full adders for use in low-power digital signal processing applications. The hybrid adders were designed using a combination of existing 14-transistor and modified Shannon full adder circuits in order to achieve high performance at low voltages. The adders were simulated using a 90nm technology and were found to have lower power consumption, operate at low voltages with good signal integrity, and have performance suitable for low-power, high-performance applications.
Analysis of CMOS Comparator in 90nm Technology with Different Power Reduction...IJECEIAES
To reduce power consumption of regenerative comparator three different techniques are incorporated in this work. These techniques provide a way to achieve low power consumption through their mechanism that alters the operation of the circuit. These techniques are pseudo NMOS, CVSL (cascode voltage switch logic)/DCVS (differential cascode voltage switch) & power gating. Initially regenerative comparator is simulated at 90 nm CMOS technology with 0.7 V supply voltage. Results shows total power consumption of 15.02 µW with considerably large leakage current of 52.03 nA. Further, with pseudo NMOS technique total power consumption increases to 126.53 µW while CVSL shows total power consumption of 18.94 µW with leakage current of 1270.13 nA. More then 90% reduction is attained in total power consumption and leakage current by employing the power gating technique. Moreover, the variations in the power consumption with temperature is also recorded for all three reported techniques where power gating again show optimum variations with least power consumption. Four more conventional comparator circuits are also simulated in 90nm CMOS technology for comparison. Comparison shows better results for regenerative comparator with power gating technique. Simulations are executed by employing SPICE based on 90 nm CMOS technology.
Design and implementation of 4-bit binary weighted current steering DAC IJECEIAES
A compact current-mode Digital-to-Analog converter (DAC) suitable for biomedical application is repesented in this paper. The designed DAC is binary weighted in 180nm CMOS technology with 1.8V supply voltage. In this implementation, authors have focused on calculaton of Non linearity error say INL and DNL for 4-bit DAC having various type of switches: NMOS, PMOS and transmission gate. The implemented DAC uses lower area and power compared to unary architecture due to absence of digital decoders. The desired value of Integrated non linearity (INL) and Differential non linearity (DNL) for DAC for are within a range of +0.5LSB. Result obtained in this works for INL and DNL for the case DAC using transmission gate is +0.34LSB and +0.38 LSB respectively with 22mW power dissipation.
Energy Efficient Design of Multiplexer Using Adiabatic logicIJEEE
the increasing prominence of portable systems and the need to limit the power consumption in very high density VLSI chips have led to rapid and innovative developments in low power design during the recent years. The CMOS technology provides circuits with very low static power dissipation, during the switching operation currents are generated, due to the discharge of load capacitances that cause power dissipation increasing with the clock frequency. The adiabatic technique prevents such losses, the charge does not flow from the supply voltage to the load capacitance and then to ground, but it flows back to a trapezoidal or sinusoidal supply voltage and can be reused.In this paper a low 2:1 multiplexer is designed using positive feedback adiabatic logic. The design is simulated at .12µm technology using Microwind 3.1. Simulated results shows that proposed design saves 38% energy as compare to conventional CMOS design.
Delta-sigma ADC modulator for multibit data converters using passive adder en...journalBEEI
This paper introduces a multi-bit data converters (MDC) modulator of the 2nd order delta-sigma analog-to-digital converter use the passive adder proposed. The noise shaping quantizer can provide feedback that has generated quantization noise and perform additional shaping noise first-order by coupling noise method.Thus, two Integrator's with ring amplifier and the MDC is shaped by noise coupling quantizer know the 2nd-order noise coupled with somewhat of a DAC modulator. At a summing point, the inputs are summed and then filtered with a low pass filter. A cyclic second order response is generated with a data weighted averaging (DWA) technique in which the DACs ' outputs are limited to one of two states in the noise shaping responses. Mainly as a result of the harmonic distortion in circuits of amplifier. Transistor rate is equipped for the fully differential switched condenser integrator used, a comparator and DWA. The modulator with proposed DWA design, almost quarterly improved timing margin. A simulated SNDR of 92dB is obtained at 20 MHz sampling frequency; while a sinusoidal output of 4.112 dBFS is tested at 90µs besides 20 MHz as the bandwidth. The power consumption is 0.33 mW while the voltage of the supply is 1.2V.
IRJET- Design of Memristor based MultiplierIRJET Journal
This document describes the design of a 4-bit multiplier circuit using memristors. It begins with an introduction to memristors and their advantages over CMOS technology. It then discusses different window functions that can be used for memristor models and selects the Biolek window function. The document implements a 2-bit and 4-bit array multiplier circuit using memristor-CMOS hybrid logic gates. It analyzes the results in LTSpice and finds improvements in area and component count compared to traditional CMOS and other memristor-based designs. The document concludes memristors can help reduce area for multiplier circuits.
IRJET- Implementation and Analysis of Hybridization in Modified Parallel Adde...IRJET Journal
The document compares different types of adder circuits including carry save adders (CSA), carry skip adders (CSkA), carry increment adders (CIA), and modified carry select adders using D-latches. It analyzes the delay, power consumption, and area of hybrid adders that combine elements of different adders, such as a CSA-CSkA hybrid adder and CSA-CIA hybrid adder. Simulation results using Verilog HDL show that the hybrid adders have lower delay, area, or power consumption compared to basic adder circuits. The most efficient adder depends on the specific metrics considered such as being best for lower or higher bit operations.
Abstract
Frequency shift keying (FSK) is the most common form of digital modulation in the high-frequency radio spectrum. The
demodulation of Binary FSK involves complex operations on the modulated signal like reconstruction of carrier signal and
multiplication of carrier signal with the modulated signal. In this paper, BFSK is realized as two separate ASKs and a much
simpler incoherent method is proposed for demodulation of BFSK modulated signal. Since power consumption is one of the main
factors in electronic devices, low power devices are always demanding. Power Consumption could be minimized by reducing the
actual block diagram of the system by opting an alternative approach to achieve the same output signal. The same BFSK
demodulator can be used to demodulate an ASK modulated signal if it follow certain criteria’s.
Key Words: FSK, ASK, Demodulator, filters, MATLAB
This document summarizes an IC chip called RTB that functions as a full-duplex transceiver for wideband digital systems. The chip contains four independent transceivers that can transmit and receive data through the same transmission line. It uses feedback to subtract the transmitted signal from the combined transmitted and received signal on the line in order to recover the received data. Simulation and testing showed the chip could reliably transmit and receive signals at data rates up to 100 Mbps over transmission lines up to several tens of meters.
Design and Implementation of combinational circuits in different low power lo...iosrjce
IOSR journal of VLSI and Signal Processing (IOSRJVSP) is a double blind peer reviewed International Journal that publishes articles which contribute new results in all areas of VLSI Design & Signal Processing. The goal of this journal is to bring together researchers and practitioners from academia and industry to focus on advanced VLSI Design & Signal Processing concepts and establishing new collaborations in these areas.Design and realization of microelectronic systems using VLSI/ULSI technologies require close collaboration among scientists and engineers in the fields of systems architecture, logic and circuit design, chips and wafer fabrication, packaging, testing and systems applications. Generation of specifications, design and verification must be performed at all abstraction levels, including the system, register-transfer, logic, circuit, transistor and process levels.
Fpga implementation of soft decision low power convolutional decoder using vi...ecejntuk
1. This document discusses an FPGA implementation of a soft decision low power convolutional decoder using the Viterbi algorithm.
2. It reviews literature on adaptive Viterbi decoding techniques that can improve error performance and reduce computational requirements compared to the standard Viterbi algorithm.
3. Convolutional encoding with Viterbi decoding is described as a forward error correction technique well-suited for channels with additive white Gaussian noise. The document provides examples of how error rates increase as the signal-to-noise ratio decreases.
IRJET- Re-Configuration Topology for On-Chip Networks by Back-TrackingIRJET Journal
1) A novel reconfigurable network-on-chip architecture is proposed that allows for self-adaptive application-specific topologies to be implemented with backtracking to ensure throughput.
2) The architecture supports multiple applications by reconfiguring its topology according to the topology that best matches the input application and also supports a deadlock-free dynamic routing scheme.
3) Reconfigurability is achieved by changing the inter-switch connections according to a predefined configuration for the application. Backtracking is used to handle blockages and support deadlock-free routing through an efficient switch design.
1. The document presents a simulation of a low power analog channel decoder for error correction implemented in 65nm CMOS technology.
2. The decoder uses analog circuitry operating in the sub-threshold region to perform decoding, allowing for ultra-low power operation below 40uW for throughput up to 2.5Mbps.
3. The decoder architecture includes an analog decoding core that implements the sum-product algorithm, digital interfaces for input and output, and a digital controller to manage timing.
Implementation of Full Adder Cell Using High Performance CMOS Technologyijsrd.com
This document presents the design and implementation of a full adder cell using a high-performance CMOS technology to improve speed and reduce power consumption. It begins with an introduction to CMOS technology and enhancements. It then discusses the design and architecture of a traditional full adder before proposing a new design using CMOS transistors. Simulation results show the proposed design has lower power consumption of around 100 microwatts, a 35% reduction compared to the existing design. The document concludes that reducing supply voltage is an effective way to lower power dissipation for low-performance applications like sensor networks.
IRJET- Implementation of Low Power 32-Bit Carry-Look Ahead Adder using Ad...IRJET Journal
This document describes the design and implementation of a low power 32-bit carry look ahead adder using adiabatic efficient charge recovery logic (ECRL). ECRL is an adiabatic logic that allows for energy recovery, leading to lower power consumption than conventional CMOS logic. The authors designed inverters, AND, OR, and EX-OR gates as well as 4, 8, 16, and 32-bit carry look ahead adders using ECRL in a 45nm technology. Simulation results showed the ECRL implementations consumed less average power than equivalent static CMOS designs. For example, the 32-bit ECRL carry look ahead adder consumed 36.76μw on average
A Review of Different Methods for Booth MultiplierIJERA Editor
In this review paper, different type of implementation of Booth multiplier has been studied. Multipliers has great importance in digital signal processor, so designing a high-speed multiplier is the need of the hour. Advantages of using modified booth multiplier algorithm is that the number of partial product is reduced. Different types of addition algorithms are also discussed which are used for addition operation of multiplier.
A 12-Bit High Speed Analog To Digital Convertor Using μp 8085IJERA Editor
The need constantly exists for converters with higher resolution, faster conversion speeds and lower power dissipation. High-speed analog to digital converters (ADC’s) have been based on flash architecture, because all comparators sample the analog input voltage simultaneously, this ADC is thus inherently fast. Unfortunately, flash ADC requires 2N - 1 comparators to convert N bit digital code from an analog sample. This makes flash ADC’s unsuitable for high-resolution applications. This paper demonstrates a simple technique to enhance resolution of flash ADC’s that require as few as 256 comparators for 12-bit conversion. In this approach, the analog input range is partitioned into 256 quantization cells, separated by 255 boundary points. An 8-bit binary code 00000000 to 11111111 is assigned to each cell. A 12-bit flash converter requires 4096 comparators, while proposed technique reduces number of comparator requirements to only 256 for 12- bit conversion. Therefore, this technique is best suitable when high speed combined with high resolution is required. Result of 12-bit prototype is presented.
IRJET- Power Line Carrier CommunicationIRJET Journal
This document describes power line carrier communication (PLCC), which uses power lines as a communication medium. It discusses using PLCC to transmit electricity billing data from individual homes to the electricity company without site visits. Key components of the system include a real-time clock, energy meter, microcontroller, LCD display, and FSK transmitter and receiver. Data transmission is done by modulating a signal onto the power line using FSK modulation. The system is intended to reduce the burden on electricity companies by allowing remote transmission of billing data without the need for site visits.
Quantum-dot Cellular Automata (QCA) is an alternative innovation to the
Complementary Metal Oxide Semiconductor (CMOS) because CMOS has scaling
limitations that lead to high leakage power. QCA is structured on quantum cells, whose
sizes are on the nanoscale. This component causes faults in QCA circuits. Converting
a code into another that is programmed in logic arrays becomes important in the
physical realization of the circuits. There are many methods to resolve this problem in
circuits. A code converter is a solution to convert one code into another. In this paper,
QCA-based “4-bit binary-to-gray” and “4-bit gray-to-binary code converters” are
suggested. The offered layout prospects to a decrease in energy expenditure and can
be utilized in many fields for shielding data from outsiders and increasing information
flexibility. We executed a relative analysis of the suggested design with present earlier
designs and turned out that the suggested layout is productive on condition that
complexity, cell count, area intake, and clocking. This paper offers a streamlined design
and layout concerning code converters depending on QCA. These structures are
designed with the QCADesigner, simulator and the simulation results are examined.
Area Versus Speed Trade-off Analysis of a WiMAX Deinterleaver Circuit Designijsrd.com
Trade-off is one of the main design parameters in the field of electronic circuit design. Whereas smaller electronics devices which use less hardware due to techniques like hardware multiplexing or due to smaller devices created due to techniques developed by nanotechnology and MEMS, are more appealing, a trade-off between area, power and speed is inevitable. This paper analyses the trade-off in the design of Wimax deinterleaver. The main aim is to reduce the hardware utilization in a deinterleaver but speed and power consumption are important parameters which cannot be overlooked.
A 130-NM CMOS 400 MHZ 8-Bit Low Power Binary Weighted Current Steering DAC ijcisjournal
A low power low voltage 8-bit Digital to Analog Converter consisting of different current sources in binary
weighted array architecture is designed. The weights of current sources are depending on the binary
weights of the bits. This current steering DAC is suitable for high speed applications. The proposed DAC in
this paper has DNL, INL of ±0.04, ±0.05 respectively and the power consumption of 16.67mw.
This binary array architecture is implemented in CMOS 0.13µm 1P2M technology has good performances
in DNL, INL and area compared with other researches.
This document summarizes a research paper that proposes two novel hybrid full adders for use in low-power digital signal processing applications. The hybrid adders were designed using a combination of existing 14-transistor and modified Shannon full adder circuits in order to achieve high performance at low voltages. The adders were simulated using a 90nm technology and were found to have lower power consumption, operate at low voltages with good signal integrity, and have performance suitable for low-power, high-performance applications.
Analysis of CMOS Comparator in 90nm Technology with Different Power Reduction...IJECEIAES
To reduce power consumption of regenerative comparator three different techniques are incorporated in this work. These techniques provide a way to achieve low power consumption through their mechanism that alters the operation of the circuit. These techniques are pseudo NMOS, CVSL (cascode voltage switch logic)/DCVS (differential cascode voltage switch) & power gating. Initially regenerative comparator is simulated at 90 nm CMOS technology with 0.7 V supply voltage. Results shows total power consumption of 15.02 µW with considerably large leakage current of 52.03 nA. Further, with pseudo NMOS technique total power consumption increases to 126.53 µW while CVSL shows total power consumption of 18.94 µW with leakage current of 1270.13 nA. More then 90% reduction is attained in total power consumption and leakage current by employing the power gating technique. Moreover, the variations in the power consumption with temperature is also recorded for all three reported techniques where power gating again show optimum variations with least power consumption. Four more conventional comparator circuits are also simulated in 90nm CMOS technology for comparison. Comparison shows better results for regenerative comparator with power gating technique. Simulations are executed by employing SPICE based on 90 nm CMOS technology.
Design and implementation of 4-bit binary weighted current steering DAC IJECEIAES
A compact current-mode Digital-to-Analog converter (DAC) suitable for biomedical application is repesented in this paper. The designed DAC is binary weighted in 180nm CMOS technology with 1.8V supply voltage. In this implementation, authors have focused on calculaton of Non linearity error say INL and DNL for 4-bit DAC having various type of switches: NMOS, PMOS and transmission gate. The implemented DAC uses lower area and power compared to unary architecture due to absence of digital decoders. The desired value of Integrated non linearity (INL) and Differential non linearity (DNL) for DAC for are within a range of +0.5LSB. Result obtained in this works for INL and DNL for the case DAC using transmission gate is +0.34LSB and +0.38 LSB respectively with 22mW power dissipation.
Energy Efficient Design of Multiplexer Using Adiabatic logicIJEEE
the increasing prominence of portable systems and the need to limit the power consumption in very high density VLSI chips have led to rapid and innovative developments in low power design during the recent years. The CMOS technology provides circuits with very low static power dissipation, during the switching operation currents are generated, due to the discharge of load capacitances that cause power dissipation increasing with the clock frequency. The adiabatic technique prevents such losses, the charge does not flow from the supply voltage to the load capacitance and then to ground, but it flows back to a trapezoidal or sinusoidal supply voltage and can be reused.In this paper a low 2:1 multiplexer is designed using positive feedback adiabatic logic. The design is simulated at .12µm technology using Microwind 3.1. Simulated results shows that proposed design saves 38% energy as compare to conventional CMOS design.
Delta-sigma ADC modulator for multibit data converters using passive adder en...journalBEEI
This paper introduces a multi-bit data converters (MDC) modulator of the 2nd order delta-sigma analog-to-digital converter use the passive adder proposed. The noise shaping quantizer can provide feedback that has generated quantization noise and perform additional shaping noise first-order by coupling noise method.Thus, two Integrator's with ring amplifier and the MDC is shaped by noise coupling quantizer know the 2nd-order noise coupled with somewhat of a DAC modulator. At a summing point, the inputs are summed and then filtered with a low pass filter. A cyclic second order response is generated with a data weighted averaging (DWA) technique in which the DACs ' outputs are limited to one of two states in the noise shaping responses. Mainly as a result of the harmonic distortion in circuits of amplifier. Transistor rate is equipped for the fully differential switched condenser integrator used, a comparator and DWA. The modulator with proposed DWA design, almost quarterly improved timing margin. A simulated SNDR of 92dB is obtained at 20 MHz sampling frequency; while a sinusoidal output of 4.112 dBFS is tested at 90µs besides 20 MHz as the bandwidth. The power consumption is 0.33 mW while the voltage of the supply is 1.2V.
IRJET- Design of Memristor based MultiplierIRJET Journal
This document describes the design of a 4-bit multiplier circuit using memristors. It begins with an introduction to memristors and their advantages over CMOS technology. It then discusses different window functions that can be used for memristor models and selects the Biolek window function. The document implements a 2-bit and 4-bit array multiplier circuit using memristor-CMOS hybrid logic gates. It analyzes the results in LTSpice and finds improvements in area and component count compared to traditional CMOS and other memristor-based designs. The document concludes memristors can help reduce area for multiplier circuits.
IRJET- Implementation and Analysis of Hybridization in Modified Parallel Adde...IRJET Journal
The document compares different types of adder circuits including carry save adders (CSA), carry skip adders (CSkA), carry increment adders (CIA), and modified carry select adders using D-latches. It analyzes the delay, power consumption, and area of hybrid adders that combine elements of different adders, such as a CSA-CSkA hybrid adder and CSA-CIA hybrid adder. Simulation results using Verilog HDL show that the hybrid adders have lower delay, area, or power consumption compared to basic adder circuits. The most efficient adder depends on the specific metrics considered such as being best for lower or higher bit operations.
Abstract
Frequency shift keying (FSK) is the most common form of digital modulation in the high-frequency radio spectrum. The
demodulation of Binary FSK involves complex operations on the modulated signal like reconstruction of carrier signal and
multiplication of carrier signal with the modulated signal. In this paper, BFSK is realized as two separate ASKs and a much
simpler incoherent method is proposed for demodulation of BFSK modulated signal. Since power consumption is one of the main
factors in electronic devices, low power devices are always demanding. Power Consumption could be minimized by reducing the
actual block diagram of the system by opting an alternative approach to achieve the same output signal. The same BFSK
demodulator can be used to demodulate an ASK modulated signal if it follow certain criteria’s.
Key Words: FSK, ASK, Demodulator, filters, MATLAB
This document summarizes an IC chip called RTB that functions as a full-duplex transceiver for wideband digital systems. The chip contains four independent transceivers that can transmit and receive data through the same transmission line. It uses feedback to subtract the transmitted signal from the combined transmitted and received signal on the line in order to recover the received data. Simulation and testing showed the chip could reliably transmit and receive signals at data rates up to 100 Mbps over transmission lines up to several tens of meters.
Design and Implementation of combinational circuits in different low power lo...iosrjce
IOSR journal of VLSI and Signal Processing (IOSRJVSP) is a double blind peer reviewed International Journal that publishes articles which contribute new results in all areas of VLSI Design & Signal Processing. The goal of this journal is to bring together researchers and practitioners from academia and industry to focus on advanced VLSI Design & Signal Processing concepts and establishing new collaborations in these areas.Design and realization of microelectronic systems using VLSI/ULSI technologies require close collaboration among scientists and engineers in the fields of systems architecture, logic and circuit design, chips and wafer fabrication, packaging, testing and systems applications. Generation of specifications, design and verification must be performed at all abstraction levels, including the system, register-transfer, logic, circuit, transistor and process levels.
Fpga implementation of soft decision low power convolutional decoder using vi...ecejntuk
1. This document discusses an FPGA implementation of a soft decision low power convolutional decoder using the Viterbi algorithm.
2. It reviews literature on adaptive Viterbi decoding techniques that can improve error performance and reduce computational requirements compared to the standard Viterbi algorithm.
3. Convolutional encoding with Viterbi decoding is described as a forward error correction technique well-suited for channels with additive white Gaussian noise. The document provides examples of how error rates increase as the signal-to-noise ratio decreases.
IRJET- Re-Configuration Topology for On-Chip Networks by Back-TrackingIRJET Journal
1) A novel reconfigurable network-on-chip architecture is proposed that allows for self-adaptive application-specific topologies to be implemented with backtracking to ensure throughput.
2) The architecture supports multiple applications by reconfiguring its topology according to the topology that best matches the input application and also supports a deadlock-free dynamic routing scheme.
3) Reconfigurability is achieved by changing the inter-switch connections according to a predefined configuration for the application. Backtracking is used to handle blockages and support deadlock-free routing through an efficient switch design.
1. The document presents a simulation of a low power analog channel decoder for error correction implemented in 65nm CMOS technology.
2. The decoder uses analog circuitry operating in the sub-threshold region to perform decoding, allowing for ultra-low power operation below 40uW for throughput up to 2.5Mbps.
3. The decoder architecture includes an analog decoding core that implements the sum-product algorithm, digital interfaces for input and output, and a digital controller to manage timing.
Implementation of Full Adder Cell Using High Performance CMOS Technologyijsrd.com
This document presents the design and implementation of a full adder cell using a high-performance CMOS technology to improve speed and reduce power consumption. It begins with an introduction to CMOS technology and enhancements. It then discusses the design and architecture of a traditional full adder before proposing a new design using CMOS transistors. Simulation results show the proposed design has lower power consumption of around 100 microwatts, a 35% reduction compared to the existing design. The document concludes that reducing supply voltage is an effective way to lower power dissipation for low-performance applications like sensor networks.
IRJET- Implementation of Low Power 32-Bit Carry-Look Ahead Adder using Ad...IRJET Journal
This document describes the design and implementation of a low power 32-bit carry look ahead adder using adiabatic efficient charge recovery logic (ECRL). ECRL is an adiabatic logic that allows for energy recovery, leading to lower power consumption than conventional CMOS logic. The authors designed inverters, AND, OR, and EX-OR gates as well as 4, 8, 16, and 32-bit carry look ahead adders using ECRL in a 45nm technology. Simulation results showed the ECRL implementations consumed less average power than equivalent static CMOS designs. For example, the 32-bit ECRL carry look ahead adder consumed 36.76μw on average
A Review of Different Methods for Booth MultiplierIJERA Editor
In this review paper, different type of implementation of Booth multiplier has been studied. Multipliers has great importance in digital signal processor, so designing a high-speed multiplier is the need of the hour. Advantages of using modified booth multiplier algorithm is that the number of partial product is reduced. Different types of addition algorithms are also discussed which are used for addition operation of multiplier.
A 12-Bit High Speed Analog To Digital Convertor Using μp 8085IJERA Editor
The need constantly exists for converters with higher resolution, faster conversion speeds and lower power dissipation. High-speed analog to digital converters (ADC’s) have been based on flash architecture, because all comparators sample the analog input voltage simultaneously, this ADC is thus inherently fast. Unfortunately, flash ADC requires 2N - 1 comparators to convert N bit digital code from an analog sample. This makes flash ADC’s unsuitable for high-resolution applications. This paper demonstrates a simple technique to enhance resolution of flash ADC’s that require as few as 256 comparators for 12-bit conversion. In this approach, the analog input range is partitioned into 256 quantization cells, separated by 255 boundary points. An 8-bit binary code 00000000 to 11111111 is assigned to each cell. A 12-bit flash converter requires 4096 comparators, while proposed technique reduces number of comparator requirements to only 256 for 12- bit conversion. Therefore, this technique is best suitable when high speed combined with high resolution is required. Result of 12-bit prototype is presented.
IRJET- Power Line Carrier CommunicationIRJET Journal
This document describes power line carrier communication (PLCC), which uses power lines as a communication medium. It discusses using PLCC to transmit electricity billing data from individual homes to the electricity company without site visits. Key components of the system include a real-time clock, energy meter, microcontroller, LCD display, and FSK transmitter and receiver. Data transmission is done by modulating a signal onto the power line using FSK modulation. The system is intended to reduce the burden on electricity companies by allowing remote transmission of billing data without the need for site visits.
This document describes a proposed technique for a 10-bit high-speed successive approximation register (SAR) analog-to-digital converter (ADC). The technique uses a hybrid architecture that partitions the input range into 256 quantization cells using an 8-bit flash ADC, then assigns a 10-bit binary code to each cell. Only 2 comparisons are needed for 10-bit conversion using a successive approximation approach. The proposed ADC architecture is described and experimental results showing differential and integral nonlinearities within specifications are presented, validating the technique.
Optimizing Data Encoding Technique For Dynamic Power Reduction In Network On ...IRJET Journal
This document summarizes a research paper that proposes three data encoding schemes to reduce dynamic power consumption in Network-on-Chip (NoC) systems. The schemes aim to minimize bit transitions on the data path by considering different transition types like odd, even and full. The schemes are evaluated by replacing the encoder in Low Density Parity Check (LDPC) coding with the proposed schemes. Simulation results show the three schemes reduce dynamic power compared to normal LDPC. Scheme 3 provides the most reduction by integrating even and odd inversion to minimize transitions between categories. The proposed techniques yield meaningful power savings for dynamic power reduction in NoCs.
DESIGN OF LOW POWER SAR ADC FOR ECG USING 45nm CMOS TECHNOLOGYVLSICS Design
Design of a low power Successive Approximation Register Analog to Digital Converter (SAR ADC) in 45nm CMOS Technology for biopotential acquisition systems is presented. It is designed by using a high threshold voltage (Vt) cell to reduce power dissipation. A 10-bit SAR ADC is designed and compared with the low resolution SAR ADC and normal threshold voltage (Vt) ADC with respect to power and delay. The results show that high Vt SAR ADC saves power upto 67% as compared to low Vt SAR ADC without any penalty of delay. Other performance metrics studied are the Effective Number of Bits (ENOB) and Signal to Noise Ratio (SNR), Signal to Noise and Distortion Ratio and Spurious Free Dynamic ratio.
DESIGN OF LOW POWER SAR ADC FOR ECG USING 45nm CMOS TECHNOLOGYVLSICS Design
Design of a low power Successive Approximation Register Analog to Digital Converter (SAR ADC) in
45nm CMOS Technology for biopotential acquisition systems is presented. It is designed by using a high
threshold voltage (Vt) cell to reduce power dissipation. A 10-bit SAR ADC is designed and compared with
the low resolution SAR ADC and normal threshold voltage (Vt) ADC with respect to power and delay. The
results show that high Vt SAR ADC saves power upto 67% as compared to low Vt SAR ADC without any
penalty of delay. Other performance metrics studied are the Effective Number of Bits (ENOB) and Signal to
Noise Ratio (SNR), Signal to Noise and Distortion Ratio and Spurious Free Dynamic ratio.
High Performance Binary to Gray Code Converter using Transmission GATE IJEEE
This document discusses the design and implementation of a binary to gray code converter using both conventional CMOS logic gates and transmission gates. It finds that a design using transmission gates improves power efficiency by 76.22% and reduces the effective area by 72.3% compared to a design using CMOS logic gates. The transmission gate implementation also reduces the number of transistors from 36 to 18. Layouts of the converter were created using both fully automatic and semi-custom design in Microwind3.1. Simulation results show that the transmission gate semi-custom layout has the lowest power at 10.7μW and smallest area at 47.5μm2, making it the most efficient implementation.
High Speed Low-Power Viterbi Decoder Using Trellis Code ModulationMangaiK4
Abstract - High speed low power viterbi decoders for trellis code modulation is well known for the delay consumption in underwater communication. In transmission system wireless communication is the transfer of information between two or more points that are not connected by an electrical conductor. WiMAX is the wireless communication standard designed to provide 30 to 40 Mega bits per second data rates. WiMAX as a standards based technology enabling the delivery of last mile wireless broadband access as an alternative to cable and DSL. WiMAX can provide at home or mobile internet access across whole cities or countries. The address generation of WiMAX is carried out by interleaver and deinterleaver. Interleaving is used to overcome correlated channel noise such as burst error or fading. The interleaver/deinterleaver rearranges input data such that consecutive data are spaced apart. The interleaved memory is to improve the speed of access to memory. The viterbi technique reduces the bit error rate and delay using wimax.
High Speed Low-Power Viterbi Decoder Using Trellis Code ModulationMangaiK4
Abstract - High speed low power viterbi decoders for trellis code modulation is well known for the delay consumption in underwater communication. In transmission system wireless communication is the transfer of information between two or more points that are not connected by an electrical conductor. WiMAX is the wireless communication standard designed to provide 30 to 40 Mega bits per second data rates. WiMAX as a standards based technology enabling the delivery of last mile wireless broadband access as an alternative to cable and DSL. WiMAX can provide at home or mobile internet access across whole cities or countries. The address generation of WiMAX is carried out by interleaver and deinterleaver. Interleaving is used to overcome correlated channel noise such as burst error or fading. The interleaver/deinterleaver rearranges input data such that consecutive data are spaced apart. The interleaved memory is to improve the speed of access to memory. The viterbi technique reduces the bit error rate and delay using wimax.
IRJET-Spectrum Allocation Policies for Flex Grid Network with Data Rate Limit...IRJET Journal
This document discusses spectrum allocation policies for flex grid networks with data rate limited transmission. It begins with an abstract that outlines the tradeoff between data rate, allocated frequency slots, and modulation format that must be considered for spectrum allocation. It then discusses the objectives of identifying optical paths, path lengths, selecting modulation schemes, and finding optimal routes. The methodology section covers factors considered for spectrum allocation like modulation formats, noise, crosstalk, and transmission distances limited by noise and crosstalk for different modulation formats and fiber core counts. Implementation details algorithms for network setup, finding shortest paths, candidate path selection, and spectrum allocation. Results show fragmentation increases with demand but is constant for some core fibers. Higher core counts provide advantages and lower request
A 8-bit high speed ADC using Intel μP 8085IJERD Editor
An 8-bit ADC Architecture of is proposed, it uses 16 comparators and produces 8-bit digital code in half the time as that of successive approximation technique. In this approach, the analog input range is partitioned into 16 quantization cells, separated by 15 boundary points. A 4-bit binary code 0000 to 1111 is assigned to each cell. The results show that the ADC exhibits a maximum DNL of 0.49LSB and a maximum INL of 0.51LSB.
A NEW DATA ENCODER AND DECODER SCHEME FOR NETWORK ON CHIPEditor IJMTER
System-on-chip (soc) based system has so many disadvantages in power-dissipation as
well as clock rate while the data transfer from one system to another system in on-chip. At the same
time, a higher operated system does not support the lower operated bus network for data transfer.
However an alternative scheme is proposed for high speed data transfer. But this scheme is limited to
SOCs. Unlike soc, network-on-chip (NOC) has so many advantages for data transfer. It has a special
feature to transfer the data in on-chip named as transitional encoder. Its operation is based on input
transitions. At the same time it supports systems which are higher operated frequencies. In this
project, a low-power encoding scheme is proposed. The proposed system yields lower dynamic
power dissipation due to the reduction of switching activity and coupling switching activity when
compared to existing system. Even-though many factors which is based on power dissipation, the
dynamic power dissipation is only considerable for reasonable advantage. The proposed system is
synthesized using quartus II 9.1 software. Besides, the proposed system will be extended up to
interlink PE communication with help of routers and PE’s which are performed by various
operations. To implement this system in real NOC’s contains the proposed encoders and decoders for
data transfer with regular traffic scenarios should be considered.
Fixed-Outline 3-D IC Floor planning with TSV Co-PlacementIRJET Journal
This document describes a new digital input/output power configurable pad (CPAD) circuit for a wafer-scale prototyping platform. The CPAD can provide different standard voltage levels and includes a fast load regulation circuit merged with a high-speed digital I/O. It achieves good voltage regulation performance while offering configurable operation and low power consumption. The CPAD circuit is designed to meet the stringent area and power constraints required for integration into the wafer-scale prototyping platform, which contains over 1 million pads and aims to rapidly prototype electronic systems by interconnecting user integrated circuits deposited on its surface.
Design of Low Power High Speed 4-Bit TIQ Based CMOS Flash ADCAman JanGra
This document summarizes the design of a 4-bit TIQ (Threshold Inverter Quantization) based CMOS flash analog-to-digital converter (ADC) for system-on-chip applications. The proposed ADC uses two cascaded CMOS inverters as comparators, eliminating the need for high-gain differential input voltage comparators and reference voltages. Simulation results show the ADC achieves high speed of 1 GSample/sec and low power consumption, with differential/integral nonlinearity errors between -0.031 to 0.026/-0.024 to 0.011 LSB respectively. Different encoder designs are also evaluated, showing a fat tree encoder has the lowest delay and power consumption.
An Ultra-Low Power Robust Koggestone Adder at Sub-Threshold Voltages for Impl...VLSICS Design
The growing demand for energy constrained applications and portable devices have created a dire need for ultra-low power circuits. Implantable biomedical devices such as pacemakers need ultra-low power circuits for a better battery life for uninterrupted biomedical data processing. Circuits operating in subthreshold region minimize the energy per operation, thus providing a better platform for energy constrained implantable biomedical devices. This paper presents 8, 16 and 32-bit ultra-low power robust Kogge-Stone adders with improved performance. These adders operate at subthreshold supply voltages which can be used for low power implantable bio-medical devices such as pacemakers. To improve the performance of these adders in sub-threshold region, forward body bias technique and multi-threshold transistors are used. The adders are designed using NCSU 45nm bulk CMOS process library and the simulations were performed using HSPICE circuit simulator. Quantitative power-performance analysis is performed at slow-slow (SS), typical-typical (TT) and fast-fast (FF) corners clocked at 50 KHz for temperature ranging from 25̊C to 120̊C. For a supply voltage 0.3V, all the adders had the least PDP. Using 0.3V as the supply voltage, multi threshold voltage and forward body biasing techniques were applied to
further improve the performance of the adders. The PDP obtained using the forward body biasing technique shows an effective improvement compared to high threshold voltage and multi threshold voltage techniques. The forward biasing technique maintains a balance between delay reduction and increase in average power, thus reducing the power delay product when compared to the other two techniques.
AN ULTRA-LOW POWER ROBUST KOGGESTONE ADDER AT SUB-THRESHOLD VOLTAGES FOR IMPL...VLSICS Design
The growing demand for energy constrained applications and portable devices have created a dire need for
ultra-low power circuits. Implantable biomedical devices such as pacemakers need ultra-low power
circuits for a better battery life for uninterrupted biomedical data processing. Circuits operating in subthreshold
region minimize the energy per operation, thus providing a better platform for energy
constrained implantable biomedical devices. This paper presents 8, 16 and 32-bit ultra-low power robust
Kogge-Stone adders with improved performance. These adders operate at subthreshold supply voltages
which can be used for low power implantable bio-medical devices such as pacemakers. To improve the
performance of these adders in sub-threshold region, forward body bias technique and multi-threshold
transistors are used. The adders are designed using NCSU 45nm bulk CMOS process library and the
simulations were performed using HSPICE circuit simulator. Quantitative power-performance analysis is
performed at slow-slow (SS), typical-typical (TT) and fast-fast (FF) corners clocked at 50 KHz for
temperature ranging from 25̊C to 120̊C. For a supply voltage 0.3V, all the adders had the least PDP. Using
0.3V as the supply voltage, multi threshold voltage and forward body biasing techniques were applied to
further improve the performance of the adders. The PDP obtained using the forward body biasing
technique shows an effective improvement compared to high threshold voltage and multi threshold voltage
techniques. The forward biasing technique maintains a balance between delay reduction and increase in
average power, thus reducing the power delay product when compared to the other two techniques.
GENERIC SYSTEM VERILOG UNIVERSAL VERIFICATION METHODOLOGY BASED REUSABLE VERI...VLSICS Design
In this paper, we present Generic System Verilog Universal Verification Methodology based Reusable Verification Environment for efficient verification of Image Signal Processing IP’s/SoC’s. With the tight schedules on all projects it is important to have a strong verification methodology which contributes to First Silicon Success. Deploy methodologies which enforce full functional coverage and verification of corner cases through pseudo random test scenarios is required. Also, standardization of verification flow is needed. Previously, inside imaging group of ST, Specman (e)/Verilog based Verification Environment for IP/Subsystem level verification and C/C++/Verilog based Directed Verification Environment for SoC Level Verification was used for Functional Verification. Different Verification Environments were used at IP
level and SoC level. Different Verification/Validation Methodologies were used for SoC Verification across multiple sites. Verification teams were also looking for the ways how to catch bugs early in the design cycle? Thus, Generic System Verilog Universal Verification Methodology (UVM) based Reusable Verification Environment is required to avoid the problem of having so many methodologies and provides a standard unified solution which compiles on all tools.
IRJET- Low Power Adder and Multiplier Circuits Design Optimization in VLSIIRJET Journal
The document describes a proposed design for a low power 4-bit multiplier circuit using a hybrid full adder design with both pass-transistor logic and CMOS technology. The hybrid full adder uses 9 transistors compared to 12 in previous designs, reducing area and power. A faster Dadda algorithm is used to partition the partial product matrix into two parts that are reduced in parallel to two rows each using 3-bit and 2-bit counters, then combined with a carry look-ahead adder to form the final product. The proposed design aims to reduce propagation delay, power dissipation, and improve performance compared to previous multiplier circuit designs.
IRJET - High Speed Inexact Speculative Adder using Carry Look Ahead Adder...IRJET Journal
This document presents a novel architecture for a high-speed inexact speculative adder using carry lookahead adder and Brent Kung adder. The proposed adder splits the critical path into shorter paths using fine-grain pipelining to improve speed. It uses a carry lookahead adder and Brent Kung adder in 4-bit blocks to speculate the carry and calculate sums, with error compensation. The design is implemented using a 5-stage pipeline to further reduce delay. Implementation in MAGIC shows the proposed adder achieves higher speed through optimized pipelining of key components in the speculative and compensation blocks.
VLSI Design of Low Power High Speed 4 Bit Resolution Pipeline ADC In Submicro...VLSICS Design
Analog-to-digital converters (ADCs) are key design blocks and are currently adopted in many application fields to improve digital systems, which achieve superior performances with respect to analog solutions. Application such as wireless communication and digital audio and video have created the need for costeffective data converters that will achieve higher speed and resolution. Widespread usage confers great importance to the design activities, which nowadays largely contributes to the production cost in integrated circuit devices (ICs). Various examples of ADC applications can be found in data acquisition systems, measurement systems and digital communication systems also imaging, instrumentation systems. Since the ADC has a continuous, infinite –valued signal as its input, the important analog points on the transfer curve x-axis for an ADC are the ones that corresponding to changes in the digital output word. These input transitions determine the amount of INL and DNL associated with the converter. Hence, we have to considered all the parameters and improving the associated performance may significantly reduce the industrial cost of an ADC manufacturing process and improved the resolution and design specially power consumption . The paper presents a design of 4 bit Pipeline ADC with low power dissipation implemented in <0.18µm.
Similar to Power Optimized Transmitter for Future Switched Network (20)
TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...IRJET Journal
1) The document discusses the Sungal Tunnel project in Jammu and Kashmir, India, which is being constructed using the New Austrian Tunneling Method (NATM).
2) NATM involves continuous monitoring during construction to adapt to changing ground conditions, and makes extensive use of shotcrete for temporary tunnel support.
3) The methodology section outlines the systematic geotechnical design process for tunnels according to Austrian guidelines, and describes the various steps of NATM tunnel construction including initial and secondary tunnel support.
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTUREIRJET Journal
This study examines the effect of response reduction factors (R factors) on reinforced concrete (RC) framed structures through nonlinear dynamic analysis. Three RC frame models with varying heights (4, 8, and 12 stories) were analyzed in ETABS software under different R factors ranging from 1 to 5. The results showed that displacement increased as the R factor decreased, indicating less linear behavior for lower R factors. Drift also decreased proportionally with increasing R factors from 1 to 5. Shear forces in the frames decreased with higher R factors. In general, R factors of 3 to 5 produced more satisfactory performance with less displacement and drift. The displacement variations between different building heights were consistent at different R factors. This study evaluated how R factors influence
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...IRJET Journal
This study compares the use of Stark Steel and TMT Steel as reinforcement materials in a two-way reinforced concrete slab. Mechanical testing is conducted to determine the tensile strength, yield strength, and other properties of each material. A two-way slab design adhering to codes and standards is executed with both materials. The performance is analyzed in terms of deflection, stability under loads, and displacement. Cost analyses accounting for material, durability, maintenance, and life cycle costs are also conducted. The findings provide insights into the economic and structural implications of each material for reinforcement selection and recommendations on the most suitable material based on the analysis.
Effect of Camber and Angles of Attack on Airfoil CharacteristicsIRJET Journal
This document discusses a study analyzing the effect of camber, position of camber, and angle of attack on the aerodynamic characteristics of airfoils. Sixteen modified asymmetric NACA airfoils were analyzed using computational fluid dynamics (CFD) by varying the camber, camber position, and angle of attack. The results showed the relationship between these parameters and the lift coefficient, drag coefficient, and lift to drag ratio. This provides insight into how changes in airfoil geometry impact aerodynamic performance.
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...IRJET Journal
This document reviews the progress and challenges of aluminum-based metal matrix composites (MMCs), focusing on their fabrication processes and applications. It discusses how various aluminum MMCs have been developed using reinforcements like borides, carbides, oxides, and nitrides to improve mechanical and wear properties. These composites have gained prominence for their lightweight, high-strength and corrosion resistance properties. The document also examines recent advancements in fabrication techniques for aluminum MMCs and their growing applications in industries such as aerospace and automotive. However, it notes that challenges remain around issues like improper mixing of reinforcements and reducing reinforcement agglomeration.
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...IRJET Journal
This document discusses research on using graph neural networks (GNNs) for dynamic optimization of public transportation networks in real-time. GNNs represent transit networks as graphs with nodes as stops and edges as connections. The GNN model aims to optimize networks using real-time data on vehicle locations, arrival times, and passenger loads. This helps increase mobility, decrease traffic, and improve efficiency. The system continuously trains and infers to adapt to changing transit conditions, providing decision support tools. While research has focused on performance, more work is needed on security, socio-economic impacts, contextual generalization of models, continuous learning approaches, and effective real-time visualization.
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...IRJET Journal
This document summarizes a research project that aims to compare the structural performance of conventional slab and grid slab systems in multi-story buildings using ETABS software. The study will analyze both symmetric and asymmetric building models under various loading conditions. Parameters like deflections, moments, shears, and stresses will be examined to evaluate the structural effectiveness of each slab type. The results will provide insights into the comparative behavior of conventional and grid slabs to help engineers and architects select appropriate slab systems based on building layouts and design requirements.
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...IRJET Journal
This document summarizes and reviews a research paper on the seismic response of reinforced concrete (RC) structures with plan and vertical irregularities, with and without infill walls. It discusses how infill walls can improve or reduce the seismic performance of RC buildings, depending on factors like wall layout, height distribution, connection to the frame, and relative stiffness of walls and frames. The reviewed research paper analyzes the behavior of infill walls, effects of vertical irregularities, and seismic performance of high-rise structures under linear static and dynamic analysis. It studies response characteristics like story drift, deflection and shear. The document also provides literature on similar research investigating the effects of infill walls, soft stories, plan irregularities, and different
This document provides a review of machine learning techniques used in Advanced Driver Assistance Systems (ADAS). It begins with an abstract that summarizes key applications of machine learning in ADAS, including object detection, recognition, and decision-making. The introduction discusses the integration of machine learning in ADAS and how it is transforming vehicle safety. The literature review then examines several research papers on topics like lightweight deep learning models for object detection and lane detection models using image processing. It concludes by discussing challenges and opportunities in the field, such as improving algorithm robustness and adaptability.
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...IRJET Journal
The document analyzes temperature and precipitation trends in Asosa District, Benishangul Gumuz Region, Ethiopia from 1993 to 2022 based on data from the local meteorological station. The results show:
1) The average maximum and minimum annual temperatures have generally decreased over time, with maximum temperatures decreasing by a factor of -0.0341 and minimum by -0.0152.
2) Mann-Kendall tests found the decreasing temperature trends to be statistically significant for annual maximum temperatures but not for annual minimum temperatures.
3) Annual precipitation in Asosa District showed a statistically significant increasing trend.
The conclusions recommend development planners account for rising summer precipitation and declining temperatures in
P.E.B. Framed Structure Design and Analysis Using STAAD ProIRJET Journal
This document discusses the design and analysis of pre-engineered building (PEB) framed structures using STAAD Pro software. It provides an overview of PEBs, including that they are designed off-site with building trusses and beams produced in a factory. STAAD Pro is identified as a key tool for modeling, analyzing, and designing PEBs to ensure their performance and safety under various load scenarios. The document outlines modeling structural parts in STAAD Pro, evaluating structural reactions, assigning loads, and following international design codes and standards. In summary, STAAD Pro is used to design and analyze PEB framed structures to ensure safety and code compliance.
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...IRJET Journal
This document provides a review of research on innovative fiber integration methods for reinforcing concrete structures. It discusses studies that have explored using carbon fiber reinforced polymer (CFRP) composites with recycled plastic aggregates to develop more sustainable strengthening techniques. It also examines using ultra-high performance fiber reinforced concrete to improve shear strength in beams. Additional topics covered include the dynamic responses of FRP-strengthened beams under static and impact loads, and the performance of preloaded CFRP-strengthened fiber reinforced concrete beams. The review highlights the potential of fiber composites to enable more sustainable and resilient construction practices.
Survey Paper on Cloud-Based Secured Healthcare SystemIRJET Journal
This document summarizes a survey on securing patient healthcare data in cloud-based systems. It discusses using technologies like facial recognition, smart cards, and cloud computing combined with strong encryption to securely store patient data. The survey found that healthcare professionals believe digitizing patient records and storing them in a centralized cloud system would improve access during emergencies and enable more efficient care compared to paper-based systems. However, ensuring privacy and security of patient data is paramount as healthcare incorporates these digital technologies.
Review on studies and research on widening of existing concrete bridgesIRJET Journal
This document summarizes several studies that have been conducted on widening existing concrete bridges. It describes a study from China that examined load distribution factors for a bridge widened with composite steel-concrete girders. It also outlines challenges and solutions for widening a bridge in the UAE, including replacing bearings and stitching the new and existing structures. Additionally, it discusses two bridge widening projects in New Zealand that involved adding precast beams and stitching to connect structures. Finally, safety measures and challenges for strengthening a historic bridge in Switzerland under live traffic are presented.
React based fullstack edtech web applicationIRJET Journal
The document describes the architecture of an educational technology web application built using the MERN stack. It discusses the frontend developed with ReactJS, backend with NodeJS and ExpressJS, and MongoDB database. The frontend provides dynamic user interfaces, while the backend offers APIs for authentication, course management, and other functions. MongoDB enables flexible data storage. The architecture aims to provide a scalable, responsive platform for online learning.
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...IRJET Journal
This paper proposes integrating Internet of Things (IoT) and blockchain technologies to help implement objectives of India's National Education Policy (NEP) in the education sector. The paper discusses how blockchain could be used for secure student data management, credential verification, and decentralized learning platforms. IoT devices could create smart classrooms, automate attendance tracking, and enable real-time monitoring. Blockchain would ensure integrity of exam processes and resource allocation, while smart contracts automate agreements. The paper argues this integration has potential to revolutionize education by making it more secure, transparent and efficient, in alignment with NEP goals. However, challenges like infrastructure needs, data privacy, and collaborative efforts are also discussed.
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.IRJET Journal
This document provides a review of research on the performance of coconut fibre reinforced concrete. It summarizes several studies that tested different volume fractions and lengths of coconut fibres in concrete mixtures with varying compressive strengths. The studies found that coconut fibre improved properties like tensile strength, toughness, crack resistance, and spalling resistance compared to plain concrete. Volume fractions of 2-5% and fibre lengths of 20-50mm produced the best results. The document concludes that using a 4-5% volume fraction of coconut fibres 30-40mm in length with M30-M60 grade concrete would provide benefits based on previous research.
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...IRJET Journal
The document discusses optimizing business management processes through automation using Microsoft Power Automate and artificial intelligence. It provides an overview of Power Automate's key components and features for automating workflows across various apps and services. The document then presents several scenarios applying automation solutions to common business processes like data entry, monitoring, HR, finance, customer support, and more. It estimates the potential time and cost savings from implementing automation for each scenario. Finally, the conclusion emphasizes the transformative impact of AI and automation tools on business processes and the need for ongoing optimization.
Multistoried and Multi Bay Steel Building Frame by using Seismic DesignIRJET Journal
The document describes the seismic design of a G+5 steel building frame located in Roorkee, India according to Indian codes IS 1893-2002 and IS 800. The frame was analyzed using the equivalent static load method and response spectrum method, and its response in terms of displacements and shear forces were compared. Based on the analysis, the frame was designed as a seismic-resistant steel structure according to IS 800:2007. The software STAAD Pro was used for the analysis and design.
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...IRJET Journal
This research paper explores using plastic waste as a sustainable and cost-effective construction material. The study focuses on manufacturing pavers and bricks using recycled plastic and partially replacing concrete with plastic alternatives. Initial results found that pavers and bricks made from recycled plastic demonstrate comparable strength and durability to traditional materials while providing environmental and cost benefits. Additionally, preliminary research indicates incorporating plastic waste as a partial concrete replacement significantly reduces construction costs without compromising structural integrity. The outcomes suggest adopting plastic waste in construction can address plastic pollution while optimizing costs, promoting more sustainable building practices.
We have compiled the most important slides from each speaker's presentation. This year’s compilation, available for free, captures the key insights and contributions shared during the DfMAy 2024 conference.
Electric vehicle and photovoltaic advanced roles in enhancing the financial p...IJECEIAES
Climate change's impact on the planet forced the United Nations and governments to promote green energies and electric transportation. The deployments of photovoltaic (PV) and electric vehicle (EV) systems gained stronger momentum due to their numerous advantages over fossil fuel types. The advantages go beyond sustainability to reach financial support and stability. The work in this paper introduces the hybrid system between PV and EV to support industrial and commercial plants. This paper covers the theoretical framework of the proposed hybrid system including the required equation to complete the cost analysis when PV and EV are present. In addition, the proposed design diagram which sets the priorities and requirements of the system is presented. The proposed approach allows setup to advance their power stability, especially during power outages. The presented information supports researchers and plant owners to complete the necessary analysis while promoting the deployment of clean energy. The result of a case study that represents a dairy milk farmer supports the theoretical works and highlights its advanced benefits to existing plants. The short return on investment of the proposed approach supports the paper's novelty approach for the sustainable electrical system. In addition, the proposed system allows for an isolated power setup without the need for a transmission line which enhances the safety of the electrical network
ACEP Magazine edition 4th launched on 05.06.2024Rahul
This document provides information about the third edition of the magazine "Sthapatya" published by the Association of Civil Engineers (Practicing) Aurangabad. It includes messages from current and past presidents of ACEP, memories and photos from past ACEP events, information on life time achievement awards given by ACEP, and a technical article on concrete maintenance, repairs and strengthening. The document highlights activities of ACEP and provides a technical educational article for members.
Presentation of IEEE Slovenia CIS (Computational Intelligence Society) Chapte...University of Maribor
Slides from talk presenting:
Aleš Zamuda: Presentation of IEEE Slovenia CIS (Computational Intelligence Society) Chapter and Networking.
Presentation at IcETRAN 2024 session:
"Inter-Society Networking Panel GRSS/MTT-S/CIS
Panel Session: Promoting Connection and Cooperation"
IEEE Slovenia GRSS
IEEE Serbia and Montenegro MTT-S
IEEE Slovenia CIS
11TH INTERNATIONAL CONFERENCE ON ELECTRICAL, ELECTRONIC AND COMPUTING ENGINEERING
3-6 June 2024, Niš, Serbia
Low power architecture of logic gates using adiabatic techniquesnooriasukmaningtyas
The growing significance of portable systems to limit power consumption in ultra-large-scale-integration chips of very high density, has recently led to rapid and inventive progresses in low-power design. The most effective technique is adiabatic logic circuit design in energy-efficient hardware. This paper presents two adiabatic approaches for the design of low power circuits, modified positive feedback adiabatic logic (modified PFAL) and the other is direct current diode based positive feedback adiabatic logic (DC-DB PFAL). Logic gates are the preliminary components in any digital circuit design. By improving the performance of basic gates, one can improvise the whole system performance. In this paper proposed circuit design of the low power architecture of OR/NOR, AND/NAND, and XOR/XNOR gates are presented using the said approaches and their results are analyzed for powerdissipation, delay, power-delay-product and rise time and compared with the other adiabatic techniques along with the conventional complementary metal oxide semiconductor (CMOS) designs reported in the literature. It has been found that the designs with DC-DB PFAL technique outperform with the percentage improvement of 65% for NOR gate and 7% for NAND gate and 34% for XNOR gate over the modified PFAL techniques at 10 MHz respectively.
Understanding Inductive Bias in Machine LearningSUTEJAS
This presentation explores the concept of inductive bias in machine learning. It explains how algorithms come with built-in assumptions and preferences that guide the learning process. You'll learn about the different types of inductive bias and how they can impact the performance and generalizability of machine learning models.
The presentation also covers the positive and negative aspects of inductive bias, along with strategies for mitigating potential drawbacks. We'll explore examples of how bias manifests in algorithms like neural networks and decision trees.
By understanding inductive bias, you can gain valuable insights into how machine learning models work and make informed decisions when building and deploying them.
Introduction- e - waste – definition - sources of e-waste– hazardous substances in e-waste - effects of e-waste on environment and human health- need for e-waste management– e-waste handling rules - waste minimization techniques for managing e-waste – recycling of e-waste - disposal treatment methods of e- waste – mechanism of extraction of precious metal from leaching solution-global Scenario of E-waste – E-waste in India- case studies.