The growing demand for energy constrained applications and portable devices have created a dire need for
ultra-low power circuits. Implantable biomedical devices such as pacemakers need ultra-low power
circuits for a better battery life for uninterrupted biomedical data processing. Circuits operating in subthreshold
region minimize the energy per operation, thus providing a better platform for energy
constrained implantable biomedical devices. This paper presents 8, 16 and 32-bit ultra-low power robust
Kogge-Stone adders with improved performance. These adders operate at subthreshold supply voltages
which can be used for low power implantable bio-medical devices such as pacemakers. To improve the
performance of these adders in sub-threshold region, forward body bias technique and multi-threshold
transistors are used. The adders are designed using NCSU 45nm bulk CMOS process library and the
simulations were performed using HSPICE circuit simulator. Quantitative power-performance analysis is
performed at slow-slow (SS), typical-typical (TT) and fast-fast (FF) corners clocked at 50 KHz for
temperature ranging from 25̊C to 120̊C. For a supply voltage 0.3V, all the adders had the least PDP. Using
0.3V as the supply voltage, multi threshold voltage and forward body biasing techniques were applied to
further improve the performance of the adders. The PDP obtained using the forward body biasing
technique shows an effective improvement compared to high threshold voltage and multi threshold voltage
techniques. The forward biasing technique maintains a balance between delay reduction and increase in
average power, thus reducing the power delay product when compared to the other two techniques.
International Journal of Engineering Research and Applications (IJERA) is an open access online peer reviewed international journal that publishes research and review articles in the fields of Computer Science, Neural Networks, Electrical Engineering, Software Engineering, Information Technology, Mechanical Engineering, Chemical Engineering, Plastic Engineering, Food Technology, Textile Engineering, Nano Technology & science, Power Electronics, Electronics & Communication Engineering, Computational mathematics, Image processing, Civil Engineering, Structural Engineering, Environmental Engineering, VLSI Testing & Low Power VLSI Design etc.
Real Coded Genetic Algorithm Based Improvement of Efficiency in Interleaved B...IJPEDS-IAES
The reliability, efficiency, and controllability of Photo Voltaic power systems can be increased by embedding the components of a Boost Converter. Currently, the converter technology overcomes the main problems of manufacturing cost, efficiency and mass production. Issue to limit the life span of a Photo Voltaic inverter is the huge electrolytic capacitor across the Direct Current bus for energy decoupling. This paper presents a two-phase interleaved boost converter which ensures 180 angle phase shift between the two interleaved converters. The Proportional Integral controller is used to reshape that the controller attempts to minimize the error by adjusting the control inputs and also real coded genetic algorithm is proposed for tuning of controlling parameters of Proportional Integral controller. The real coded genetic algorithm is applied in the Interleaved Boost Converter with Advanced Pulse Width Modulation Techniques for improving the results of efficiency and reduction of ripple current. Simulation results illustrate the improvement of efficiency and the diminution of ripple current.
In our project, we propose a novel architecture which generates the test patterns with reduced switching activities. LP-TPG (Test pattern Generator) structure consists of modified low power linear feedback shift register (LP-LFSR), m-bit counter; gray counter, NOR-gate structure and XOR-array. The m-bit counter is initialized with Zeros and which generates 2m test patterns in sequence. The m-bit counter and gray code generator are controlled by common clock signal [CLK]. The output of m-bit counter is applied as input to gray code generator and NOR-gate structure. When all the bits of counter output are Zero, the NOR-gate output is one. Only when the NOR-gate output is one, the clock signal is applied to activate the LP-LFSR which generates the next seed. The seed generated from LP-LFSR is Exclusive–OR ed with the data generated from gray code generator. The patterns generated from the Exclusive–OR array are the final output patterns. The proposed architecture is simulated using Modelsim and synthesized using Xilinx ISE 13.2 and it will be implemented on XC3S500e Spartan 3E FPGA board for hardware implementation and testing. The Xilinx Chip scope tool will be used to test the FPGA inside results while the logic running on FPGA.
Implementation of a High Speed and Power Efficient Reliable Multiplier Using ...iosrjce
IOSR Journal of Electronics and Communication Engineering(IOSR-JECE) is a double blind peer reviewed International Journal that provides rapid publication (within a month) of articles in all areas of electronics and communication engineering and its applications. The journal welcomes publications of high quality papers on theoretical developments and practical applications in electronics and communication engineering. Original research papers, state-of-the-art reviews, and high quality technical notes are invited for publications.
Addition is a fundamental arithmetic operation that is broadly used in many VLSI systems, such as application-specific digital signal processing (DSP) architectures and microprocessors. This addition module is also the core of other arithmetic operations such as subtraction, multiplication, division and address generation. The prime objective of this project is to design a full-adder having low-power consumption and low propagation delay which may result in the efficient implementation of modern digital systems. This model is referred as “hybrid” because of the combination of two different design logic styles namely CMOS logic and pass transistor logic. Performance parameters such as power, delay and hence energy were compared with the existing designs such as complementary CMOS logic full adder. In the existing hybrid systems, over 28 transistors were used. While the optimized hybrid full adder circuit reduces this count to 8 transistors, it still obtains better energy efficiency. Further the proper working of proposed full adder is verified by applying it in a Ripple carry Adder circuit.
Low power test pattern generation for bist applicationseSAT Journals
Abstract This paper proposes a novel test pattern generator (TPG) for built-in self-test. Our method generates multiple single input change (MSIC) vectors in a pattern, i.e., each vector applied to a scan chain is an SIC vector. A reconfigurable Johnson counter and a scalable SIC counter are developed to generate a class of minimum transition sequences. The proposed TPG is flexible to both the test-per-clock and the test-per-scan schemes. A theory is also developed to represent and analyze the sequences and to extract a class of MSIC sequences. Analysis results show that the produced MSIC sequences have the favorable features of uniform distribution and low input transition density. Simulation results with ISCAS benchmarks demonstrate that MSIC can save test power and impose no more than 7.5% overhead for a scan design. It also achieves the target fault coverage without increasing the test length. Keywords—Built-in self-test (BIST), low power, single-input change (SIC), test pattern generator (TPG)
IJRET : International Journal of Research in Engineering and Technology is an international peer reviewed, online journal published by eSAT Publishing House for the enhancement of research in various disciplines of Engineering and Technology. The aim and scope of the journal is to provide an academic medium and an important reference for the advancement and dissemination of research results that support high-level learning, teaching and research in the fields of Engineering and Technology. We bring together Scientists, Academician, Field Engineers, Scholars and Students of related fields of Engineering and Technology
Design a Low Power High Speed Full Adder Using AVL Technique Based on CMOS Na...IOSR Journals
Abstract: Power and delay optimization is a very crucial issue in low voltage applications. In this paper, we present a design of Full Adder circuit using AVL techniques for low power operation. The approach for the design is based on XOR/XNOR & Transmission gate for single bit as hybrid design .By using this approach Full Adder is being designed using 12 transistors. We can reduce the value of total power dissipation by applying the AVLG (adaptive voltage level at ground) technology in which the ground potential is raised and AVLS (adaptive voltage level at supply) in which supply potential is increased. The main aim of the design is to investigate the power, Propagation Delay and Power delay Product for low voltage Full Adder for the proposed design style. The simulation results show that there is a significant reduction in power consumption for this proposed cell with the AVL technique. The circuit is designed using 65 nanometer CMOS technology and simulated using MicroWind and DSCH Ver. 3.1 Keywords: Full Adder, AVL Techniques, Low Power, VLSI, High Performance
International Journal of Engineering Research and Applications (IJERA) is an open access online peer reviewed international journal that publishes research and review articles in the fields of Computer Science, Neural Networks, Electrical Engineering, Software Engineering, Information Technology, Mechanical Engineering, Chemical Engineering, Plastic Engineering, Food Technology, Textile Engineering, Nano Technology & science, Power Electronics, Electronics & Communication Engineering, Computational mathematics, Image processing, Civil Engineering, Structural Engineering, Environmental Engineering, VLSI Testing & Low Power VLSI Design etc.
Real Coded Genetic Algorithm Based Improvement of Efficiency in Interleaved B...IJPEDS-IAES
The reliability, efficiency, and controllability of Photo Voltaic power systems can be increased by embedding the components of a Boost Converter. Currently, the converter technology overcomes the main problems of manufacturing cost, efficiency and mass production. Issue to limit the life span of a Photo Voltaic inverter is the huge electrolytic capacitor across the Direct Current bus for energy decoupling. This paper presents a two-phase interleaved boost converter which ensures 180 angle phase shift between the two interleaved converters. The Proportional Integral controller is used to reshape that the controller attempts to minimize the error by adjusting the control inputs and also real coded genetic algorithm is proposed for tuning of controlling parameters of Proportional Integral controller. The real coded genetic algorithm is applied in the Interleaved Boost Converter with Advanced Pulse Width Modulation Techniques for improving the results of efficiency and reduction of ripple current. Simulation results illustrate the improvement of efficiency and the diminution of ripple current.
In our project, we propose a novel architecture which generates the test patterns with reduced switching activities. LP-TPG (Test pattern Generator) structure consists of modified low power linear feedback shift register (LP-LFSR), m-bit counter; gray counter, NOR-gate structure and XOR-array. The m-bit counter is initialized with Zeros and which generates 2m test patterns in sequence. The m-bit counter and gray code generator are controlled by common clock signal [CLK]. The output of m-bit counter is applied as input to gray code generator and NOR-gate structure. When all the bits of counter output are Zero, the NOR-gate output is one. Only when the NOR-gate output is one, the clock signal is applied to activate the LP-LFSR which generates the next seed. The seed generated from LP-LFSR is Exclusive–OR ed with the data generated from gray code generator. The patterns generated from the Exclusive–OR array are the final output patterns. The proposed architecture is simulated using Modelsim and synthesized using Xilinx ISE 13.2 and it will be implemented on XC3S500e Spartan 3E FPGA board for hardware implementation and testing. The Xilinx Chip scope tool will be used to test the FPGA inside results while the logic running on FPGA.
Implementation of a High Speed and Power Efficient Reliable Multiplier Using ...iosrjce
IOSR Journal of Electronics and Communication Engineering(IOSR-JECE) is a double blind peer reviewed International Journal that provides rapid publication (within a month) of articles in all areas of electronics and communication engineering and its applications. The journal welcomes publications of high quality papers on theoretical developments and practical applications in electronics and communication engineering. Original research papers, state-of-the-art reviews, and high quality technical notes are invited for publications.
Addition is a fundamental arithmetic operation that is broadly used in many VLSI systems, such as application-specific digital signal processing (DSP) architectures and microprocessors. This addition module is also the core of other arithmetic operations such as subtraction, multiplication, division and address generation. The prime objective of this project is to design a full-adder having low-power consumption and low propagation delay which may result in the efficient implementation of modern digital systems. This model is referred as “hybrid” because of the combination of two different design logic styles namely CMOS logic and pass transistor logic. Performance parameters such as power, delay and hence energy were compared with the existing designs such as complementary CMOS logic full adder. In the existing hybrid systems, over 28 transistors were used. While the optimized hybrid full adder circuit reduces this count to 8 transistors, it still obtains better energy efficiency. Further the proper working of proposed full adder is verified by applying it in a Ripple carry Adder circuit.
Low power test pattern generation for bist applicationseSAT Journals
Abstract This paper proposes a novel test pattern generator (TPG) for built-in self-test. Our method generates multiple single input change (MSIC) vectors in a pattern, i.e., each vector applied to a scan chain is an SIC vector. A reconfigurable Johnson counter and a scalable SIC counter are developed to generate a class of minimum transition sequences. The proposed TPG is flexible to both the test-per-clock and the test-per-scan schemes. A theory is also developed to represent and analyze the sequences and to extract a class of MSIC sequences. Analysis results show that the produced MSIC sequences have the favorable features of uniform distribution and low input transition density. Simulation results with ISCAS benchmarks demonstrate that MSIC can save test power and impose no more than 7.5% overhead for a scan design. It also achieves the target fault coverage without increasing the test length. Keywords—Built-in self-test (BIST), low power, single-input change (SIC), test pattern generator (TPG)
IJRET : International Journal of Research in Engineering and Technology is an international peer reviewed, online journal published by eSAT Publishing House for the enhancement of research in various disciplines of Engineering and Technology. The aim and scope of the journal is to provide an academic medium and an important reference for the advancement and dissemination of research results that support high-level learning, teaching and research in the fields of Engineering and Technology. We bring together Scientists, Academician, Field Engineers, Scholars and Students of related fields of Engineering and Technology
Design a Low Power High Speed Full Adder Using AVL Technique Based on CMOS Na...IOSR Journals
Abstract: Power and delay optimization is a very crucial issue in low voltage applications. In this paper, we present a design of Full Adder circuit using AVL techniques for low power operation. The approach for the design is based on XOR/XNOR & Transmission gate for single bit as hybrid design .By using this approach Full Adder is being designed using 12 transistors. We can reduce the value of total power dissipation by applying the AVLG (adaptive voltage level at ground) technology in which the ground potential is raised and AVLS (adaptive voltage level at supply) in which supply potential is increased. The main aim of the design is to investigate the power, Propagation Delay and Power delay Product for low voltage Full Adder for the proposed design style. The simulation results show that there is a significant reduction in power consumption for this proposed cell with the AVL technique. The circuit is designed using 65 nanometer CMOS technology and simulated using MicroWind and DSCH Ver. 3.1 Keywords: Full Adder, AVL Techniques, Low Power, VLSI, High Performance
A hybrid algorithm for voltage stability enhancement of distribution systems IJECEIAES
This paper presents a hybrid algorithm by applying a hybrid firefly and particle swarm optimization algorithm (HFPSO) to determine the optimal sizing of distributed generation (DG) and distribution static compensator (D-STATCOM) device. A multi-objective function is employed to enhance the voltage stability, voltage profile, and minimize the total power loss of the radial distribution system (RDS). Firstly, the voltage stability index (VSI) is applied to locate the optimal location of DG and D-STATCOM respectively. Secondly, to overcome the sup-optimal operation of existing algorithms, the HFPSO algorithm is utilized to determine the optimal size of both DG and D-STATCOM. Verification of the proposed algorithm has achieved on the standard IEEE 33-bus and Iraqi 65-bus radial distribution systems through simulation using MATLAB. Comprehensive simulation results of four different cases show that the proposed HFPSO demonstrates significant improvements over other existing algorithms in supporting voltage stability and loss reduction in distribution networks. Furthermore, comparisons have achieved to demonstrate the superiority of HFPSO algorithms over other techniques due to its ability to determine the global optimum solution by easy way and speed converge feature.
Analysis of CMOS Comparator in 90nm Technology with Different Power Reduction...IJECEIAES
To reduce power consumption of regenerative comparator three different techniques are incorporated in this work. These techniques provide a way to achieve low power consumption through their mechanism that alters the operation of the circuit. These techniques are pseudo NMOS, CVSL (cascode voltage switch logic)/DCVS (differential cascode voltage switch) & power gating. Initially regenerative comparator is simulated at 90 nm CMOS technology with 0.7 V supply voltage. Results shows total power consumption of 15.02 µW with considerably large leakage current of 52.03 nA. Further, with pseudo NMOS technique total power consumption increases to 126.53 µW while CVSL shows total power consumption of 18.94 µW with leakage current of 1270.13 nA. More then 90% reduction is attained in total power consumption and leakage current by employing the power gating technique. Moreover, the variations in the power consumption with temperature is also recorded for all three reported techniques where power gating again show optimum variations with least power consumption. Four more conventional comparator circuits are also simulated in 90nm CMOS technology for comparison. Comparison shows better results for regenerative comparator with power gating technique. Simulations are executed by employing SPICE based on 90 nm CMOS technology.
Optimal Integration of the Renewable Energy to the Grid by Considering Small ...IJECEIAES
In recent decades, one of the main management’s concerns of professional engineers is the optimal integration of various types of renewable energy to the grid. This paper discusses the optimal allocation of one type of renewable energy i.e. wind turbine to the grid for enhancing network’s performance. A multi-objective function is used as indexes of the system’s performance, such as increasing system loadability and minimizing the loss of real power transmission line by considering security and stability of systems’ constraints viz.: voltage and line margins, and eigenvalues as well which is representing as small signal stability. To solve the optimization problems, a new method has been developed using a novel variant of the Genetic Algorithm (GA), specifically known as Non-dominated Sorting Genetic Algorithm II (NSGAII). Whereas the Fuzzy-based mechanism is used to support the decision makers prefer the best compromise solution from the Pareto front. The effectiveness of the developed method has been established on a modified IEEE 14-bus system with wind turbine system, and their simulation results showed that the dynamic performance of the power system can be effectively improved by considering the stability and security of the system.
Resource aware wind farm and D-STATCOM optimal sizing and placement in a dist...IJECEIAES
Doubly fed induction generators (DFIG) based wind farms are capable of providing reactive power compensation. Compensation capability enhancement using reactors such as distributed static synchronous compensator (D-STATCOM) while connecting distribution generation (DG) systems to grid is imperative. This paper presents an optimal placement and sizing of offshore wind farms in a coastal distribution system that is emulated on an IEEE 33 bus system. A multi-objective formulation for optimal placement and sizing of the offshore wind farms with both the location and size constraints is developed. Teaching learning algorithm is used to optimize the multi-objective function constraining on the capacity and location of the offshore wind farms. The proposed formulation is a multi-objective problem for placement of the wind generator in the power system with dynamic wind supply to the power system. The random wind speed is generated as the input and the wind farm output generated to perform the optimal sizing and placement in the distributed system. MATLAB based simulation developed is found to be efficient and robust.
Benchmarking study between capacitive and electronic load technic to track I-...IJECEIAES
To detect defects of solar panel and understand the effect of external parameters such as fluctuations in illumination, temperature, and the effect of a type of dust on a photovoltaic (PV) panel, it is essential to plot the Ipv=f(Vpv) characteristic of the PV panel, and the simplest way to plot this I-V characteristic is to use a variable resistor. This paper presents a study of comparison and combination between two methods: capacitive and electronic loading to track I-V characteristic. The comparison was performed in terms of accuracy, response time and instrumentation cost used in each circuit, under standard temperature and illumination conditions by using polycrystalline solar panel type SX330J and monocrystalline solar panels type ET-M53630. The whole system is based on simple components, less expensive and especially widely used in laboratories. The results will be between the datasheet of the manufacturer with the experimental data, refinements and improvements concerning the number of points and the trace time have been made by combining these two methods.
VLSI Projects for M. Tech, VLSI Projects in Vijayanagar, VLSI Projects in Bangalore, M. Tech Projects in Vijayanagar, M. Tech Projects in Bangalore, VLSI IEEE projects in Bangalore, IEEE 2015 VLSI Projects, FPGA and Xilinx Projects, FPGA and Xilinx Projects in Bangalore, FPGA and Xilinx Projects in Vijayangar
Optimizing of the installed capacity of hybrid renewable energy with a modifi...IJECEIAES
The lack of wind speed capacity and the emission of photons from sunlight are the problem in a hybrid system of photovoltaic (PV) panels and wind turbines. To overcome this shortcoming, the incremental conductance (IC) algorithm is applied that could control the converter work cycle and the switching of the buck boost therefore maximum efficiency of maximum power point tracking (MPPT) is reached. The operation of the PV-wind hybrid system, consisting of a 100 W PV array device and a 400 W wind subsystem, 12 V/100 Ah battery energy storage and LED, the PV-wind system requires a hybrid controller for battery charging and usage and load lamp and it’s conducted in experimental setup. The experimental has shown that an average increase in power generated was 38.8% compared to a single system of PV panels or a single wind turbine sub-system. Therefore, the potential opportunities for increasing power production in the tropics wheather could be carried out and applied with this model.
Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Co...iosrjce
Now a day’s highly integrated multi layer board with IC’s is virtually impossible to be accessed
physically for testing. The major problem detected during testing a circuit includes test generation and gate to
I/O pin problems. In design of any circuit, consuming low power and less hardware utilization is an important
design parameter. Therefore reliable testing methods are introduced which reduces the cost of the hardware
required and also power consumed by the device. In this project a new fault coverage test pattern generator is
generated using a linear feedback shift register called FC-LFSR which can perform fault analysis and reduces
the total power of the circuit. In this test, it generates three intermediate patterns between the random patterns
which reduces the transitional activities of primary inputs so that the switching activities inside the circuit under
test will be reduced. The test patterns generated are applied to c17 benchmark circuit, whose results with fault
coverage of the circuit being tested. The simulation for this design is performed using Xilinx ISE software using
Verilog hardware description language
Parametric estimation in photovoltaic modules using the crow search algorithmIJECEIAES
The problem of parametric estimation in photovoltaic (PV) modules considering man- ufacturer information is addressed in this research from the perspective of combinatorial optimization. With the data sheet provided by the PV manufacturer, a non-linear non-convex optimization problem is formulated that contains information regarding maximum power, open-circuit, and short-circuit points. To estimate the three parameters of the PV model (i.e., the ideality diode factor (a) and the parallel and series resistances (R p and R )), the crow search algorithm (CSA) is employed, which is a metaheuristic optimization technique inspired by the behavior of the crows searching food deposits. The CSA allows the exploration and exploitation of the solution space through a simple evolution rule derived from the classical PSO method. Numerical simulations reveal the effectiveness and robustness of the CSA to estimate these parameters with objective function values lower than 1 10 s 28 and processing times less than 2 s. All the numerical simulations were developed in MATLAB 2020a and compared with the sine-cosine and vortex search algorithms recently reported in the literature.
Impact of hybrid FACTS devices on the stability of the Kenyan power system IJECEIAES
Flexible alternating current transmission system (FACTS) devices are deployed for improving power system’s stability either singly or as a combination. This research investigates hybrid FACTS devices and studies their impact on voltage, small-signal and transient stability simultaneously under various system disturbances. The simulations were done using five FACTS devices-static var compensator (SVC), static synchronous compensator (STATCOM), static synchronous series compensators (SSSC), thyristor controlled series compensator (TCSC) and unified power flow controller (UPFC) in MATLAB’s power system analysis toolbox (PSAT). These five devices were grouped into ten pairs and tested on Kenya’s transmission network under specific contingencies: the loss of a major generating machine and/or transmission line. The UPFC-STATCOM pair performed the best in all the three aspects under study. The settling times were 3 seconds and 3.05 seconds respectively for voltage and rotor angle improvement on the loss of a major generator at normal operation. The same pair gave settling times of 2.11 seconds and 3.12 seconds for voltage and rotor angle stability improvement respectively on the loss of a major transmission line at 140% system loading. From the study, two novel techniques were developed: A performance-based ranking system and classification for FACTS devices.
Reconfigurable of current-mode differentiator and integrator based-on current...IJECEIAES
The reconfigurable of the differentiator and integrator based on current conveyor transconductance amplifiers (CCTAs) have been presented in this paper. The proposed configurations are provided with two CCTAs and grounded elements. The configurations can be operated in the differentiator and integrator by selecting external passive elements. The input and output currents have low and high impedances, respectively; therefore, the configurations can be cascaded without additional current buffer. The proposed configurations can be electronically tuned by external direct current (DC) bias currents, and it also has slight fluctuation with temperature. An application of universal filter is demonstrated to confirm the ability of the proposed configurations. The results of simulation with Pspice program are accordance with the theoretical analysis.
Multi-Objective Optimization Based Design of High Efficiency DC-DC Switching ...IJPEDS-IAES
In this paper we explore the feasibility of applying multi objective stochastic
optimization algorithms to the optimal design of switching DC-DC
converters, in this way allowing the direct determination of the Pareto
optimal front of the problem. This approach provides the designer, at
affordable computational cost, a complete optimal set of choices, and a more
general insight in the objectives and parameters space, as compared to other
design procedures. As simple but significant study case we consider a low
power DC-DC hybrid control buck converter. Its optimal design is fully
analyzed basing on a Matlab public domain implementations for the
considered algorithms, the GODLIKE package implementing Genetic
Algorithm (GA), Particle Swarm Optimization (PSO) and Simulated
Annealing (SA). In this way, in a unique optimization environment, three
different optimization approaches are easily implemented and compared.
Basic assumptions for the Matlab model of the converter are briefly
discussed, and the optimal design choice is validated “a-posteriori” with
SPICE simulations.
The paper presents a low Power consumption plays a vital role in the present day VLSI technology. Power consumption of an electronic device can be reduced by adopt changed design styles. Multipliers play a most important role in high concert systems. This project focus on a novel energy efficient technique called adiabatic logic which is based on energy renewal principle and power is compared by designing a multiplier. CMOS technology plays a main role in designing low power consuming devices, compared to different logic family CMOS has less power dissipation. Adiabatic logic method is assumed to be an attractive solution for low power electronic applications. By using Adiabatic techniques energy dissipation in PMOS network can be minimized and selection of energy stored at load capacitance can be recycled instead of dissipated as heat. Tanner EDA tools are used for simulation.
Novel technique in charactarizing a pv module using pulse width modulatoreSAT Journals
Abstract The fabrication and characterization of PV modules are always done under standard test conditions (STC). However, The condition of operation are often far from thisstandard conditions. As a result, developing a characterization circuit is considered as a point of interest for researchers.This paper presents a new methodology in characterizing a PV module using an electronic load circuit. The circuit is implemented using a power MOSFET driven by a pulse width modulator (PWM) developed by LABVIEW. The system is tested and its results are validated by comparing it with simulation results performed by Comsol Multiphysics and Matlab. The system shows high accuracy with respect to the previous published work with lower cost and higher simplicity. Keywords: Photovoltaic, Characterization, Electronic load, and Pulse width modulation (PWM)…
SIMULTANEOUS OPTIMIZATION OF STANDBY AND ACTIVE ENERGY FOR SUB-THRESHOLD CIRC...VLSICS Design
Increased downscaling of CMOS circuits with respect to feature size and threshold voltage has a result of
dramatically increasing in leakage current. So, leakage power reduction is an important design issue for
active and standby modes as long as the technology scaling increased. In this paper, a simultaneous active
and standby energy optimization methodology is proposed for 22 nm sub-threshold CMOS circuits. In the
first phase, we investigate the dual threshold voltage design for active energy per cycle minimization. A
slack based genetic algorithm is proposed to find the optimal reverse body bias assignment to set of noncritical
paths gates to ensure low active energy per cycle with the maximum allowable frequency at the
optimal supply voltage. The second phase, determine the optimal reverse body bias that can be applied to
all gates for standby power optimization at the optimal supply voltage determined from the first phase.
Therefore, there exist two sets of gates and two reverse body bias values for each set. The reverse body bias
is switched between these two values in response to the mode of operation. Experimental results are
obtained for some ISCAS-85 benchmark circuits such as 74L85, 74283, ALU74181, and 16 bit RCA. The
optimized circuits show significant energy saving ranged (from 14.5% to 42.28%) and standby power
saving ranged (from 62.8% to 67%).
SIMULTANEOUS OPTIMIZATION OF STANDBY AND ACTIVE ENERGY FOR SUB-THRESHOLD CIRC...VLSICS Design
Increased downscaling of CMOS circuits with respect to feature size and threshold voltage has a result of dramatically increasing in leakage current. So, leakage power eduction is an important design issue for active and standby modes as long as the technology scaling increased. In this paper, a simultaneous active and standbyrgy optimization methodology is proposed for 22 nm sub-threshold CMOS circuits. In thefirst phase, we investigate the dual threshold voltage design for active energy per cycle minimization. A slack based genetic algorithm is proposed to find the optimal reverse body bias assignment to set of noncritical paths gates to ensure low active energy per cycle with the maximum allowable frequency at the optimal supply voltage. The second phase, determine the optimal reverse body bias that can be applied to
all gates for standby power optimization at the optimal supply voltage determined from the first phase. Therefore, there exist two sets of gates and two reverse body bias values for each set. The reverse body bias is switched between these two values in response to the mode of operation. Experimental results are obtained for some ISCAS-85 benchmark circuits such as 74L85, 74283, ALU74181, and 16 bit RCA. The optimized circuits show significant energy saving ranged (from 14.5% to 42.28%) and standby power saving ranged (from 62.8% to 67%).
A hybrid algorithm for voltage stability enhancement of distribution systems IJECEIAES
This paper presents a hybrid algorithm by applying a hybrid firefly and particle swarm optimization algorithm (HFPSO) to determine the optimal sizing of distributed generation (DG) and distribution static compensator (D-STATCOM) device. A multi-objective function is employed to enhance the voltage stability, voltage profile, and minimize the total power loss of the radial distribution system (RDS). Firstly, the voltage stability index (VSI) is applied to locate the optimal location of DG and D-STATCOM respectively. Secondly, to overcome the sup-optimal operation of existing algorithms, the HFPSO algorithm is utilized to determine the optimal size of both DG and D-STATCOM. Verification of the proposed algorithm has achieved on the standard IEEE 33-bus and Iraqi 65-bus radial distribution systems through simulation using MATLAB. Comprehensive simulation results of four different cases show that the proposed HFPSO demonstrates significant improvements over other existing algorithms in supporting voltage stability and loss reduction in distribution networks. Furthermore, comparisons have achieved to demonstrate the superiority of HFPSO algorithms over other techniques due to its ability to determine the global optimum solution by easy way and speed converge feature.
Analysis of CMOS Comparator in 90nm Technology with Different Power Reduction...IJECEIAES
To reduce power consumption of regenerative comparator three different techniques are incorporated in this work. These techniques provide a way to achieve low power consumption through their mechanism that alters the operation of the circuit. These techniques are pseudo NMOS, CVSL (cascode voltage switch logic)/DCVS (differential cascode voltage switch) & power gating. Initially regenerative comparator is simulated at 90 nm CMOS technology with 0.7 V supply voltage. Results shows total power consumption of 15.02 µW with considerably large leakage current of 52.03 nA. Further, with pseudo NMOS technique total power consumption increases to 126.53 µW while CVSL shows total power consumption of 18.94 µW with leakage current of 1270.13 nA. More then 90% reduction is attained in total power consumption and leakage current by employing the power gating technique. Moreover, the variations in the power consumption with temperature is also recorded for all three reported techniques where power gating again show optimum variations with least power consumption. Four more conventional comparator circuits are also simulated in 90nm CMOS technology for comparison. Comparison shows better results for regenerative comparator with power gating technique. Simulations are executed by employing SPICE based on 90 nm CMOS technology.
Optimal Integration of the Renewable Energy to the Grid by Considering Small ...IJECEIAES
In recent decades, one of the main management’s concerns of professional engineers is the optimal integration of various types of renewable energy to the grid. This paper discusses the optimal allocation of one type of renewable energy i.e. wind turbine to the grid for enhancing network’s performance. A multi-objective function is used as indexes of the system’s performance, such as increasing system loadability and minimizing the loss of real power transmission line by considering security and stability of systems’ constraints viz.: voltage and line margins, and eigenvalues as well which is representing as small signal stability. To solve the optimization problems, a new method has been developed using a novel variant of the Genetic Algorithm (GA), specifically known as Non-dominated Sorting Genetic Algorithm II (NSGAII). Whereas the Fuzzy-based mechanism is used to support the decision makers prefer the best compromise solution from the Pareto front. The effectiveness of the developed method has been established on a modified IEEE 14-bus system with wind turbine system, and their simulation results showed that the dynamic performance of the power system can be effectively improved by considering the stability and security of the system.
Resource aware wind farm and D-STATCOM optimal sizing and placement in a dist...IJECEIAES
Doubly fed induction generators (DFIG) based wind farms are capable of providing reactive power compensation. Compensation capability enhancement using reactors such as distributed static synchronous compensator (D-STATCOM) while connecting distribution generation (DG) systems to grid is imperative. This paper presents an optimal placement and sizing of offshore wind farms in a coastal distribution system that is emulated on an IEEE 33 bus system. A multi-objective formulation for optimal placement and sizing of the offshore wind farms with both the location and size constraints is developed. Teaching learning algorithm is used to optimize the multi-objective function constraining on the capacity and location of the offshore wind farms. The proposed formulation is a multi-objective problem for placement of the wind generator in the power system with dynamic wind supply to the power system. The random wind speed is generated as the input and the wind farm output generated to perform the optimal sizing and placement in the distributed system. MATLAB based simulation developed is found to be efficient and robust.
Benchmarking study between capacitive and electronic load technic to track I-...IJECEIAES
To detect defects of solar panel and understand the effect of external parameters such as fluctuations in illumination, temperature, and the effect of a type of dust on a photovoltaic (PV) panel, it is essential to plot the Ipv=f(Vpv) characteristic of the PV panel, and the simplest way to plot this I-V characteristic is to use a variable resistor. This paper presents a study of comparison and combination between two methods: capacitive and electronic loading to track I-V characteristic. The comparison was performed in terms of accuracy, response time and instrumentation cost used in each circuit, under standard temperature and illumination conditions by using polycrystalline solar panel type SX330J and monocrystalline solar panels type ET-M53630. The whole system is based on simple components, less expensive and especially widely used in laboratories. The results will be between the datasheet of the manufacturer with the experimental data, refinements and improvements concerning the number of points and the trace time have been made by combining these two methods.
VLSI Projects for M. Tech, VLSI Projects in Vijayanagar, VLSI Projects in Bangalore, M. Tech Projects in Vijayanagar, M. Tech Projects in Bangalore, VLSI IEEE projects in Bangalore, IEEE 2015 VLSI Projects, FPGA and Xilinx Projects, FPGA and Xilinx Projects in Bangalore, FPGA and Xilinx Projects in Vijayangar
Optimizing of the installed capacity of hybrid renewable energy with a modifi...IJECEIAES
The lack of wind speed capacity and the emission of photons from sunlight are the problem in a hybrid system of photovoltaic (PV) panels and wind turbines. To overcome this shortcoming, the incremental conductance (IC) algorithm is applied that could control the converter work cycle and the switching of the buck boost therefore maximum efficiency of maximum power point tracking (MPPT) is reached. The operation of the PV-wind hybrid system, consisting of a 100 W PV array device and a 400 W wind subsystem, 12 V/100 Ah battery energy storage and LED, the PV-wind system requires a hybrid controller for battery charging and usage and load lamp and it’s conducted in experimental setup. The experimental has shown that an average increase in power generated was 38.8% compared to a single system of PV panels or a single wind turbine sub-system. Therefore, the potential opportunities for increasing power production in the tropics wheather could be carried out and applied with this model.
Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Co...iosrjce
Now a day’s highly integrated multi layer board with IC’s is virtually impossible to be accessed
physically for testing. The major problem detected during testing a circuit includes test generation and gate to
I/O pin problems. In design of any circuit, consuming low power and less hardware utilization is an important
design parameter. Therefore reliable testing methods are introduced which reduces the cost of the hardware
required and also power consumed by the device. In this project a new fault coverage test pattern generator is
generated using a linear feedback shift register called FC-LFSR which can perform fault analysis and reduces
the total power of the circuit. In this test, it generates three intermediate patterns between the random patterns
which reduces the transitional activities of primary inputs so that the switching activities inside the circuit under
test will be reduced. The test patterns generated are applied to c17 benchmark circuit, whose results with fault
coverage of the circuit being tested. The simulation for this design is performed using Xilinx ISE software using
Verilog hardware description language
Parametric estimation in photovoltaic modules using the crow search algorithmIJECEIAES
The problem of parametric estimation in photovoltaic (PV) modules considering man- ufacturer information is addressed in this research from the perspective of combinatorial optimization. With the data sheet provided by the PV manufacturer, a non-linear non-convex optimization problem is formulated that contains information regarding maximum power, open-circuit, and short-circuit points. To estimate the three parameters of the PV model (i.e., the ideality diode factor (a) and the parallel and series resistances (R p and R )), the crow search algorithm (CSA) is employed, which is a metaheuristic optimization technique inspired by the behavior of the crows searching food deposits. The CSA allows the exploration and exploitation of the solution space through a simple evolution rule derived from the classical PSO method. Numerical simulations reveal the effectiveness and robustness of the CSA to estimate these parameters with objective function values lower than 1 10 s 28 and processing times less than 2 s. All the numerical simulations were developed in MATLAB 2020a and compared with the sine-cosine and vortex search algorithms recently reported in the literature.
Impact of hybrid FACTS devices on the stability of the Kenyan power system IJECEIAES
Flexible alternating current transmission system (FACTS) devices are deployed for improving power system’s stability either singly or as a combination. This research investigates hybrid FACTS devices and studies their impact on voltage, small-signal and transient stability simultaneously under various system disturbances. The simulations were done using five FACTS devices-static var compensator (SVC), static synchronous compensator (STATCOM), static synchronous series compensators (SSSC), thyristor controlled series compensator (TCSC) and unified power flow controller (UPFC) in MATLAB’s power system analysis toolbox (PSAT). These five devices were grouped into ten pairs and tested on Kenya’s transmission network under specific contingencies: the loss of a major generating machine and/or transmission line. The UPFC-STATCOM pair performed the best in all the three aspects under study. The settling times were 3 seconds and 3.05 seconds respectively for voltage and rotor angle improvement on the loss of a major generator at normal operation. The same pair gave settling times of 2.11 seconds and 3.12 seconds for voltage and rotor angle stability improvement respectively on the loss of a major transmission line at 140% system loading. From the study, two novel techniques were developed: A performance-based ranking system and classification for FACTS devices.
Reconfigurable of current-mode differentiator and integrator based-on current...IJECEIAES
The reconfigurable of the differentiator and integrator based on current conveyor transconductance amplifiers (CCTAs) have been presented in this paper. The proposed configurations are provided with two CCTAs and grounded elements. The configurations can be operated in the differentiator and integrator by selecting external passive elements. The input and output currents have low and high impedances, respectively; therefore, the configurations can be cascaded without additional current buffer. The proposed configurations can be electronically tuned by external direct current (DC) bias currents, and it also has slight fluctuation with temperature. An application of universal filter is demonstrated to confirm the ability of the proposed configurations. The results of simulation with Pspice program are accordance with the theoretical analysis.
Multi-Objective Optimization Based Design of High Efficiency DC-DC Switching ...IJPEDS-IAES
In this paper we explore the feasibility of applying multi objective stochastic
optimization algorithms to the optimal design of switching DC-DC
converters, in this way allowing the direct determination of the Pareto
optimal front of the problem. This approach provides the designer, at
affordable computational cost, a complete optimal set of choices, and a more
general insight in the objectives and parameters space, as compared to other
design procedures. As simple but significant study case we consider a low
power DC-DC hybrid control buck converter. Its optimal design is fully
analyzed basing on a Matlab public domain implementations for the
considered algorithms, the GODLIKE package implementing Genetic
Algorithm (GA), Particle Swarm Optimization (PSO) and Simulated
Annealing (SA). In this way, in a unique optimization environment, three
different optimization approaches are easily implemented and compared.
Basic assumptions for the Matlab model of the converter are briefly
discussed, and the optimal design choice is validated “a-posteriori” with
SPICE simulations.
The paper presents a low Power consumption plays a vital role in the present day VLSI technology. Power consumption of an electronic device can be reduced by adopt changed design styles. Multipliers play a most important role in high concert systems. This project focus on a novel energy efficient technique called adiabatic logic which is based on energy renewal principle and power is compared by designing a multiplier. CMOS technology plays a main role in designing low power consuming devices, compared to different logic family CMOS has less power dissipation. Adiabatic logic method is assumed to be an attractive solution for low power electronic applications. By using Adiabatic techniques energy dissipation in PMOS network can be minimized and selection of energy stored at load capacitance can be recycled instead of dissipated as heat. Tanner EDA tools are used for simulation.
Novel technique in charactarizing a pv module using pulse width modulatoreSAT Journals
Abstract The fabrication and characterization of PV modules are always done under standard test conditions (STC). However, The condition of operation are often far from thisstandard conditions. As a result, developing a characterization circuit is considered as a point of interest for researchers.This paper presents a new methodology in characterizing a PV module using an electronic load circuit. The circuit is implemented using a power MOSFET driven by a pulse width modulator (PWM) developed by LABVIEW. The system is tested and its results are validated by comparing it with simulation results performed by Comsol Multiphysics and Matlab. The system shows high accuracy with respect to the previous published work with lower cost and higher simplicity. Keywords: Photovoltaic, Characterization, Electronic load, and Pulse width modulation (PWM)…
SIMULTANEOUS OPTIMIZATION OF STANDBY AND ACTIVE ENERGY FOR SUB-THRESHOLD CIRC...VLSICS Design
Increased downscaling of CMOS circuits with respect to feature size and threshold voltage has a result of
dramatically increasing in leakage current. So, leakage power reduction is an important design issue for
active and standby modes as long as the technology scaling increased. In this paper, a simultaneous active
and standby energy optimization methodology is proposed for 22 nm sub-threshold CMOS circuits. In the
first phase, we investigate the dual threshold voltage design for active energy per cycle minimization. A
slack based genetic algorithm is proposed to find the optimal reverse body bias assignment to set of noncritical
paths gates to ensure low active energy per cycle with the maximum allowable frequency at the
optimal supply voltage. The second phase, determine the optimal reverse body bias that can be applied to
all gates for standby power optimization at the optimal supply voltage determined from the first phase.
Therefore, there exist two sets of gates and two reverse body bias values for each set. The reverse body bias
is switched between these two values in response to the mode of operation. Experimental results are
obtained for some ISCAS-85 benchmark circuits such as 74L85, 74283, ALU74181, and 16 bit RCA. The
optimized circuits show significant energy saving ranged (from 14.5% to 42.28%) and standby power
saving ranged (from 62.8% to 67%).
SIMULTANEOUS OPTIMIZATION OF STANDBY AND ACTIVE ENERGY FOR SUB-THRESHOLD CIRC...VLSICS Design
Increased downscaling of CMOS circuits with respect to feature size and threshold voltage has a result of dramatically increasing in leakage current. So, leakage power eduction is an important design issue for active and standby modes as long as the technology scaling increased. In this paper, a simultaneous active and standbyrgy optimization methodology is proposed for 22 nm sub-threshold CMOS circuits. In thefirst phase, we investigate the dual threshold voltage design for active energy per cycle minimization. A slack based genetic algorithm is proposed to find the optimal reverse body bias assignment to set of noncritical paths gates to ensure low active energy per cycle with the maximum allowable frequency at the optimal supply voltage. The second phase, determine the optimal reverse body bias that can be applied to
all gates for standby power optimization at the optimal supply voltage determined from the first phase. Therefore, there exist two sets of gates and two reverse body bias values for each set. The reverse body bias is switched between these two values in response to the mode of operation. Experimental results are obtained for some ISCAS-85 benchmark circuits such as 74L85, 74283, ALU74181, and 16 bit RCA. The optimized circuits show significant energy saving ranged (from 14.5% to 42.28%) and standby power saving ranged (from 62.8% to 67%).
SIMULTANEOUS OPTIMIZATION OF STANDBY AND ACTIVE ENERGY FOR SUB-THRESHOLD CIRC...VLSICS Design
Increased downscaling of CMOS circuits with respect to feature size and threshold voltage has a result of dramatically increasing in leakage current. So, leakage power reduction is an important design issue for active and standby modes as long as the technology scaling increased. In this paper, a simultaneous active and standby energy optimization methodology is proposed for 22 nm sub-threshold CMOS circuits. In the first phase, we investigate the dual threshold voltage design for active energy per cycle minimization. A
slack based genetic algorithm is proposed to find the optimal reverse body bias assignment to set of noncritical
paths gates to ensure low active energy per cycle with the maximum allowable frequency at the optimal supply voltage. The second phase, determine the optimal reverse body bias that can be applied to all gates for standby power optimization at the optimal supply voltage determined from the first phase.
Therefore, there exist two sets of gates and two reverse body bias values for each set. The reverse body bias is switched between these two values in response to the mode of operation. Experimental results are obtained for some ISCAS-85 benchmark circuits such as 74L85, 74283, ALU74181, and 16 bit RCA. The optimized circuits show significant energy saving ranged (from 14.5% to 42.28%) and standby power
saving ranged (from 62.8% to 67%)
Optimal Body Biasing Technique for CMOS Tapered Buffer IJEEE
This paper represents Fixed Body Biased CMOS Tapered Buffer which is designed to minimize the average power dissipation across large capacitive load. The implementation of Reverse Body Bias (RBB) in the proposed Buffer chain is to vary Vth value of NMOS in the first stage. And with the increase in Vth /sub-threshold leakage current and power has been reduced. The technology constraints on the threshold voltage does not allow designer to set high threshold voltage for MOS devices. Hence, this was found that in proposed circuit that when optimal Reverse Body Bias value is set within (0.2 VDD to 0.4 VDD) range, the average power dissipation across capacitive load reduces to 82.2 % at very less penalty in delay. Thus CMOS buffer designers can use the proposed method to vary Vth while keeping VDD constant, which could improve the performance parameters of Tapered Buffer. The proposed analysis is verified by simulating the 3-stage tapered buffer schematics using standard 180nm CMOS technology in Cadence environment.
This paper presents a recursive designing approach for high energy efficient carry select adder (CSA). Nowadays, the portable equipment’s like mobile phones and laptops have higher demands in the market. So, the designers must focus greater attention while designing such devices. Which means that have the devices must have lesser power consumption, low cost and have a better performance. The customers mainly focus on the equipment’s which have lesser power consumption, low cost and better performance. As we all know that the adders are the basic building block of microprocessors. The performance of the adders greatly influences the performance of those processors. The carry select adder is most suitable among other adders which have fast addition operation at low cost. The carry select adder (CSA) consists of chain full adders (FAs) and multiplexers. Here a carry select adder is designed with four FAs and four multiplexers. The proposed structure is assessed by the power consumption of the carry select adder using a 32-nm static CMOS technology for a wide range of supply voltages. The simulation results are obtained using Tanner EDA which reveals that the carry select adder has low power consumption.
SIMULTANEOUS OPTIMIZATION OF STANDBY AND ACTIVE ENERGY FOR SUB-THRESHOLD CIRC...VLSICS Design
Increased downscaling of CMOS circuits with respect to feature size and threshold voltage has a result of
dramatically increasing in leakage current. So, leakage power reduction is an important design issue for
active and standby modes as long as the technology scaling increased. In this paper, a simultaneous active
and standby energy optimization methodology is proposed for 22 nm sub-threshold CMOS circuits. In the
first phase, we investigate the dual threshold voltage design for active energy per cycle minimization. A
slack based genetic algorithm is proposed to find the optimal reverse body bias assignment to set of noncritical paths gates to ensure low active energy per cycle with the maximum allowable frequency at the
optimal supply voltage. The second phase, determine the optimal reverse body bias that can be applied to
all gates for standby power optimization at the optimal supply voltage determined from the first phase.
Therefore, there exist two sets of gates and two reverse body bias values for each set. The reverse body bias
is switched between these two values in response to the mode of operation. Experimental results are
obtained for some ISCAS-85 benchmark circuits such as 74L85, 74283, ALU74181, and 16 bit RCA. The
optimized circuits show significant energy saving ranged (from 14.5% to 42.28%) and standby power
saving ranged (from 62.8% to 67%).
A Review of Different Methods for Booth MultiplierIJERA Editor
In this review paper, different type of implementation of Booth multiplier has been studied. Multipliers has great importance in digital signal processor, so designing a high-speed multiplier is the need of the hour. Advantages of using modified booth multiplier algorithm is that the number of partial product is reduced. Different types of addition algorithms are also discussed which are used for addition operation of multiplier.
Implementation of pull up pull-down network for energy optimization in full a...IJARIIT
Nowadays the requirements of energy optimized low power circuits in higher-end applications such as
communication, IoT, biomedical systems etc., there are several techniques used to implement energy optimization in low power
circuits but the static power dissipation need to improve such kind of circuits. The conventional topology has been
implemented in basic logical gates but the delay and power much higher in each individual cell. Now we proposed an
unbalanced pull-up and pull-down network in full adder circuit using symbols. These techniques were employed to reduce the
static power dissipation and switching delay in each individual cell. The design was implemented in Cadence virtuoso TMSC
180nm CMOS technology and it’s obtaining the total power dissipation 5.128nW.The pull-up and pull-down network used to
reduce the static power dissipation in full adder is used to improve the operating speed of each individual.
International Journal of Engineering Research and Applications (IJERA) is an open access online peer reviewed international journal that publishes research and review articles in the fields of Computer Science, Neural Networks, Electrical Engineering, Software Engineering, Information Technology, Mechanical Engineering, Chemical Engineering, Plastic Engineering, Food Technology, Textile Engineering, Nano Technology & science, Power Electronics, Electronics & Communication Engineering, Computational mathematics, Image processing, Civil Engineering, Structural Engineering, Environmental Engineering, VLSI Testing & Low Power VLSI Design etc.
Implementation and analysis of power reduction in 2 to 4 decoder design using...eSAT Publishing House
IJRET : International Journal of Research in Engineering and Technology is an international peer reviewed, online journal published by eSAT Publishing House for the enhancement of research in various disciplines of Engineering and Technology. The aim and scope of the journal is to provide an academic medium and an important reference for the advancement and dissemination of research results that support high-level learning, teaching and research in the fields of Engineering and Technology. We bring together Scientists, Academician, Field Engineers, Scholars and Students of related fields of Engineering and Technology
Design and testing of systolic array multiplier using fault injecting schemesCSITiaesprime
Nowadays low power design circuits are major important for data transmission and processing the information among various system designs. One of the major multipliers used for synchronizing the data transmission is the systolic array multiplier, low power designs are mostly used for increasing the performance and reducing the hardware complexity. Among all the mathematical operations, multiplier plays a major role where it processes more information and with the high complexity of circuit in the existing irreversible design. We develop a systolic array multiplier using reversible gates for low power appliances, faults and coverage of the reversible logic are calculated in this paper. To improvise more, we introduced a reversible logic gate and tested the reversible systolic array multiplier using the fault injection method of built-in self-test block observer (BILBO) in which all corner cases are covered which shows 97% coverage compared with existing designs. Finally, Xilinx ISE 14.7 was used for synthesis and simulation results and compared parameters with existing designs which prove more efficiency.
Low Power Design of Standard Digital Gate Design Using Novel Sleep Transisto...IJMER
In the nanometer range design technologies static power consumption is very important
issue in present peripheral devices. In the CMOS based VLSI circuits technology is scaling towards
down in respect of size and achieving higher operating speeds. We have also considered these
parameters such that we can control the leakage power. As process model design are getting smaller
the density of device increases and threshold voltage as well as oxide thickness decrease to maintain
the device performance. In this article two novel circuit techniques for reduction leakage current in
NAND and NOR inverters using novel sleepy and sleepy property are investigated. We have proposed a
design model that has significant reduction in power dissipation during inactive (standby) mode of
operation compared to classical power gating methods for these circuit techniques. The proposed
circuit techniques are applied to NAND and NOR inverters and the results are compared with earlier
inverter leakage minimization techniques. All low leakage models of inverters are designed and
simulated in Tanner Tool environment using 65 nm CMOS Technology (1volt) technologies. Average
power, Leakage power, sleep transistor
Similar to AN ULTRA-LOW POWER ROBUST KOGGESTONE ADDER AT SUB-THRESHOLD VOLTAGES FOR IMPLANTABLE BIO-MEDICAL DEVICES (20)
DevOps and Testing slides at DASA ConnectKari Kakkonen
My and Rik Marselis slides at 30.5.2024 DASA Connect conference. We discuss about what is testing, then what is agile testing and finally what is Testing in DevOps. Finally we had lovely workshop with the participants trying to find out different ways to think about quality and testing in different parts of the DevOps infinity loop.
UiPath Test Automation using UiPath Test Suite series, part 4DianaGray10
Welcome to UiPath Test Automation using UiPath Test Suite series part 4. In this session, we will cover Test Manager overview along with SAP heatmap.
The UiPath Test Manager overview with SAP heatmap webinar offers a concise yet comprehensive exploration of the role of a Test Manager within SAP environments, coupled with the utilization of heatmaps for effective testing strategies.
Participants will gain insights into the responsibilities, challenges, and best practices associated with test management in SAP projects. Additionally, the webinar delves into the significance of heatmaps as a visual aid for identifying testing priorities, areas of risk, and resource allocation within SAP landscapes. Through this session, attendees can expect to enhance their understanding of test management principles while learning practical approaches to optimize testing processes in SAP environments using heatmap visualization techniques
What will you get from this session?
1. Insights into SAP testing best practices
2. Heatmap utilization for testing
3. Optimization of testing processes
4. Demo
Topics covered:
Execution from the test manager
Orchestrator execution result
Defect reporting
SAP heatmap example with demo
Speaker:
Deepak Rai, Automation Practice Lead, Boundaryless Group and UiPath MVP
The Art of the Pitch: WordPress Relationships and SalesLaura Byrne
Clients don’t know what they don’t know. What web solutions are right for them? How does WordPress come into the picture? How do you make sure you understand scope and timeline? What do you do if sometime changes?
All these questions and more will be explored as we talk about matching clients’ needs with what your agency offers without pulling teeth or pulling your hair out. Practical tips, and strategies for successful relationship building that leads to closing the deal.
Essentials of Automations: Optimizing FME Workflows with ParametersSafe Software
Are you looking to streamline your workflows and boost your projects’ efficiency? Do you find yourself searching for ways to add flexibility and control over your FME workflows? If so, you’re in the right place.
Join us for an insightful dive into the world of FME parameters, a critical element in optimizing workflow efficiency. This webinar marks the beginning of our three-part “Essentials of Automation” series. This first webinar is designed to equip you with the knowledge and skills to utilize parameters effectively: enhancing the flexibility, maintainability, and user control of your FME projects.
Here’s what you’ll gain:
- Essentials of FME Parameters: Understand the pivotal role of parameters, including Reader/Writer, Transformer, User, and FME Flow categories. Discover how they are the key to unlocking automation and optimization within your workflows.
- Practical Applications in FME Form: Delve into key user parameter types including choice, connections, and file URLs. Allow users to control how a workflow runs, making your workflows more reusable. Learn to import values and deliver the best user experience for your workflows while enhancing accuracy.
- Optimization Strategies in FME Flow: Explore the creation and strategic deployment of parameters in FME Flow, including the use of deployment and geometry parameters, to maximize workflow efficiency.
- Pro Tips for Success: Gain insights on parameterizing connections and leveraging new features like Conditional Visibility for clarity and simplicity.
We’ll wrap up with a glimpse into future webinars, followed by a Q&A session to address your specific questions surrounding this topic.
Don’t miss this opportunity to elevate your FME expertise and drive your projects to new heights of efficiency.
Neuro-symbolic is not enough, we need neuro-*semantic*Frank van Harmelen
Neuro-symbolic (NeSy) AI is on the rise. However, simply machine learning on just any symbolic structure is not sufficient to really harvest the gains of NeSy. These will only be gained when the symbolic structures have an actual semantics. I give an operational definition of semantics as “predictable inference”.
All of this illustrated with link prediction over knowledge graphs, but the argument is general.
Encryption in Microsoft 365 - ExpertsLive Netherlands 2024Albert Hoitingh
In this session I delve into the encryption technology used in Microsoft 365 and Microsoft Purview. Including the concepts of Customer Key and Double Key Encryption.
State of ICS and IoT Cyber Threat Landscape Report 2024 previewPrayukth K V
The IoT and OT threat landscape report has been prepared by the Threat Research Team at Sectrio using data from Sectrio, cyber threat intelligence farming facilities spread across over 85 cities around the world. In addition, Sectrio also runs AI-based advanced threat and payload engagement facilities that serve as sinks to attract and engage sophisticated threat actors, and newer malware including new variants and latent threats that are at an earlier stage of development.
The latest edition of the OT/ICS and IoT security Threat Landscape Report 2024 also covers:
State of global ICS asset and network exposure
Sectoral targets and attacks as well as the cost of ransom
Global APT activity, AI usage, actor and tactic profiles, and implications
Rise in volumes of AI-powered cyberattacks
Major cyber events in 2024
Malware and malicious payload trends
Cyberattack types and targets
Vulnerability exploit attempts on CVEs
Attacks on counties – USA
Expansion of bot farms – how, where, and why
In-depth analysis of the cyber threat landscape across North America, South America, Europe, APAC, and the Middle East
Why are attacks on smart factories rising?
Cyber risk predictions
Axis of attacks – Europe
Systemic attacks in the Middle East
Download the full report from here:
https://sectrio.com/resources/ot-threat-landscape-reports/sectrio-releases-ot-ics-and-iot-security-threat-landscape-report-2024/
GDG Cloud Southlake #33: Boule & Rebala: Effective AppSec in SDLC using Deplo...James Anderson
Effective Application Security in Software Delivery lifecycle using Deployment Firewall and DBOM
The modern software delivery process (or the CI/CD process) includes many tools, distributed teams, open-source code, and cloud platforms. Constant focus on speed to release software to market, along with the traditional slow and manual security checks has caused gaps in continuous security as an important piece in the software supply chain. Today organizations feel more susceptible to external and internal cyber threats due to the vast attack surface in their applications supply chain and the lack of end-to-end governance and risk management.
The software team must secure its software delivery process to avoid vulnerability and security breaches. This needs to be achieved with existing tool chains and without extensive rework of the delivery processes. This talk will present strategies and techniques for providing visibility into the true risk of the existing vulnerabilities, preventing the introduction of security issues in the software, resolving vulnerabilities in production environments quickly, and capturing the deployment bill of materials (DBOM).
Speakers:
Bob Boule
Robert Boule is a technology enthusiast with PASSION for technology and making things work along with a knack for helping others understand how things work. He comes with around 20 years of solution engineering experience in application security, software continuous delivery, and SaaS platforms. He is known for his dynamic presentations in CI/CD and application security integrated in software delivery lifecycle.
Gopinath Rebala
Gopinath Rebala is the CTO of OpsMx, where he has overall responsibility for the machine learning and data processing architectures for Secure Software Delivery. Gopi also has a strong connection with our customers, leading design and architecture for strategic implementations. Gopi is a frequent speaker and well-known leader in continuous delivery and integrating security into software delivery.
Generating a custom Ruby SDK for your web service or Rails API using Smithyg2nightmarescribd
Have you ever wanted a Ruby client API to communicate with your web service? Smithy is a protocol-agnostic language for defining services and SDKs. Smithy Ruby is an implementation of Smithy that generates a Ruby SDK using a Smithy model. In this talk, we will explore Smithy and Smithy Ruby to learn how to generate custom feature-rich SDKs that can communicate with any web service, such as a Rails JSON API.
When stars align: studies in data quality, knowledge graphs, and machine lear...
AN ULTRA-LOW POWER ROBUST KOGGESTONE ADDER AT SUB-THRESHOLD VOLTAGES FOR IMPLANTABLE BIO-MEDICAL DEVICES
1. International Journal of VLSI design & Communication Systems (VLSICS) Vol.8, No.6, December 2017
DOI : 10.5121/vlsic.2017.8601 1
AN ULTRA-LOW POWER ROBUST KOGGE-
STONE ADDER AT SUB-THRESHOLD VOLTAGES
FOR IMPLANTABLE BIO-MEDICAL DEVICES
Sruthi Nanduru, Santosh Koppa and Eugene John
Department of Electrical and Computer Engineering
University of Texas at San Antonio, Texas, USA
ABSTRACT
The growing demand for energy constrained applications and portable devices have created a dire need for
ultra-low power circuits. Implantable biomedical devices such as pacemakers need ultra-low power
circuits for a better battery life for uninterrupted biomedical data processing. Circuits operating in sub-
threshold region minimize the energy per operation, thus providing a better platform for energy
constrained implantable biomedical devices. This paper presents 8, 16 and 32-bit ultra-low power robust
Kogge-Stone adders with improved performance. These adders operate at subthreshold supply voltages
which can be used for low power implantable bio-medical devices such as pacemakers. To improve the
performance of these adders in sub-threshold region, forward body bias technique and multi-threshold
transistors are used. The adders are designed using NCSU 45nm bulk CMOS process library and the
simulations were performed using HSPICE circuit simulator. Quantitative power-performance analysis is
performed at slow-slow (SS), typical-typical (TT) and fast-fast (FF) corners clocked at 50 KHz for
temperature ranging from 25̊C to 120̊C. For a supply voltage 0.3V, all the adders had the least PDP. Using
0.3V as the supply voltage, multi threshold voltage and forward body biasing techniques were applied to
further improve the performance of the adders. The PDP obtained using the forward body biasing
technique shows an effective improvement compared to high threshold voltage and multi threshold voltage
techniques. The forward biasing technique maintains a balance between delay reduction and increase in
average power, thus reducing the power delay product when compared to the other two techniques.
KEYWORDS
Kogge-Stone adder, Bio-Medical, Sub-Threshold, Forward body bias, Multi Threshold.
1. INTRODUCTION
The growing market for energy constrained applications and portable devices have created a dire
need for circuits operating at low supply voltages and consume less power. Many portable
biomedical devices require ultra-low power and improved battery life for uninterrupted
biomedical data processing. Low power systems need to operate at low voltages and/or low
currents. A recent explosion in applications that benefit from low energy operation has carved out
a significant niche for sub-threshold circuits. Digital circuits operating with a supply voltage less
than the threshold voltage of the transistors are said to be operating in the sub-threshold region.
Circuits operating in the sub-threshold region consume less active power and dissipate less
leakage power [1]. With the increased demand of the on-board processing of signals in the
implantable devices, designing circuits that consume low power and also perform complex real-
time signal processing is a challenging task. One of the complex signal processing tasks in
2. International Journal of VLSI design & Communication Systems (VLSICS) Vol.8, No.6, December 2017
2
implantable devices such as pacemakers is the calculation of the Fast Fourier transform (FFT).
Basic building block of these FFTs are adders.
Addition is an indispensable operation in any digital, analog, or control system. An adder is one
of the critical components of a processor, as it can be used in the arithmetic logic units, floating-
point units and in the memory address generation unit. The fast and accurate operation of a digital
system mainly depends on the resident adders in the system. Among all the current adders,
parallel prefix adders are known to have better performance[2]. These parallel prefix adders are
known as carry tree adders.
The carry is generated parallelly in a tree adder and computation speed is improved at the cost of
increased area and power consumption. The main advantage of a carry tree design is that the
number of logic levels is reduced by generating the carries in parallel [2]. Due to the complexity
O (log_2 N) delay through the carry path, the parallel-prefix tree adders are better in terms of
speed. Kogge-Stone adder, Brent-Kung adder, Han-Carlson adder, and Sklansky adder are some
of the parallel prefix adders. Among all the parallel adders, Kogge-stone adder is the fastest
adder. This is due to the reduced number of stages needed to compute the sum and carry in the
Kogge-Stone adders. Kogge-Stone adder implementation is straightforward and also has one of
the shortest critical paths among all the tree adders [2].To utilize this adder for a low power
implantable device, it can be operated in subthreshold region [5]- [8]. In subthreshold region the
performance of the adders is degraded making it unsuitable for signal/data processing. In order to
improve the performance in subthreshold region, forward body bias (FBB) [9]- [12] and multi
threshold transistor (MVT) techniques [3]-[4] are used. This research conducts a quantitative
power-performance analysis of the Kogge-Stone adder using 45nm bulk CMOS technology in
typical-typical (TT), slow-slow (SS) and fast-fast (FF) corners at various operating temperatures.
The rest of this paper is organized as follows. Section 2 describes the steps involved in the
addition operation of the Kogge-Stone adder. Section 3 describes the tools and methodologies
used in simulating the Kogge-Stone adders. Section 4 discusses the simulation results and Section
5 concludes the paper.
2. THE KOGGE-STONE ADDER
The Kogge-Stone adder is a parallel prefix adder form of Carry Look Ahead (CLA) adder. The
Kogge-Stone adder requires more area to implement, but has less fan-out at each stage. In this
research, the Kogge-Stone adder is designed in the sub threshold region to reduce its power
consumption. The architecture of a Kogge-Stone adder is described below.
The functionality of the Kogge-Stone adder can be analysed through three distinct steps as shown
in Figure 1.
Figure 1: The three distinct stages of the Kogge-Stone Adder.
3. International Journal of VLSI design & Communication Systems (VLSICS) Vol.8, No.6, December 2017
3
Figure 2 shows the schematic block diagram of an 8-bit Kogge-Stone adder. It consists of 3 carry
look-ahead network stages. The n-bit Kogge-Stone adder consists of log2n carry look ahead
network stages [13]. Hence, the 16-bit and 32-bit Kogge-Stone adder consists of 4 and 5 carry
look-ahead network stages, respectively.
Figure 2: The Schematic block diagram of an 8-Bit Kogge-Stone Adder.
Pre-Processing stage:In the pre-processing step, propagate and generate signals are computed
corresponding to each pair of A and B bitsas givenby Equations (1) and (2).
G = Ai AND Bi (1)
P = Ai XOR Bi (2)
Carry Generation Network:
In this stage, the carries are computed. All the operations are executed in parallel. They are
segmented after the computation of the carry. It uses carry propagate and generate which are
given by the logic Equations (3) and (4).
G = Gi OR (Pi AND G(iprev )) (3)
P=Pi AND P(iprev )
(4)
Post Processing:This step involves the computation of sum bits and final carry given by
Equations (5) and (6).
Ci = Gi (5)
Si = Pi XOR C(iprev ) (6)
4. International Journal of VLSI design & Communication Systems (VLSICS) Vol.8, No.6, December 2017
4
3. TOOLS AND METHODOLOGY
In this research, 8, 16 and 32-bitKogge-Stone adders are simulated in the sub-threshold region of
operation using high threshold voltage transistor models for low power consumption. The
minimum operating supply voltage is determined experimentally. Use of multi-threshold voltage
transistors and body biasing techniques are employed in order to improve the performance at the
minimum operating point. All the simulations are performed in HSPICE using NCSU 45nm bulk
CMOS process library at SS, TT and FF corners considering temperature variations from 25̊C to
120̊C operating at a maximum frequency of 50 KHZ.
At first, each Kogge-Stone circuit is simulated using higher threshold voltage cells for low power
consumption and the minimum operating point is obtained. Operating the circuit in sub-threshold
region increases the delay of the circuit exponentially with supply voltage reduction. Whereas, the
power consumption decreases with the decreasing supply voltage.
In order to enhance the performance of the adder at the minimum operating point, forward biasing
technique and MVT (Multi-Threshold Voltage) techniques are applied to the circuit. The
performance improvement at minimum operating point is considered for better energy savings.
To implement the forward biasing technique, the high threshold PMOS transistors present in the
critical path are forward biased to a voltage value lower than Vdd, as shown in Figure 3. For
multi threshold voltage simulation, low threshold cells are inserted in the critical path replacing
the high threshold transistor cells.
Figure 3: Forward Body Biased PMOS Transistor.
The maximum or the worst-case delay of the adder is calculated through the critical path of the
adders. The critical path of the 8-bit, 16bit and 32bit Kogge-Stone adders consists of five gate
stages, six gate stages and seven gate stages, respectively. The delay is measured from the 50% of
the input edge to the 50% of the resultant output edge. The power consumption is evaluated for
random input patterns to achieve an accurate evaluation. Separate tests are conducted to
investigate the dynamic power and the delay. The circuit operation is tested for various input
voltage values ranging from 0.9V to 0.2V. All the outputs are connected to load inverters
powered by the input voltage. The different threshold voltages of the transistors considered is
given in Table 1.
4. RESULTS AND DISCUSSIONS
The 8, 16 and 32 bit Kogge-Stone adders are simulated using NCSU 45nm process library in
typical-typical, slow-slow and fast-fast corners and clocked at a frequency of 50 KHz and the
results are presented in the following sections.
5. International Journal of VLSI design & Communication Systems (VLSICS) Vol.8, No.6, December 2017
5
4.1. Typical-Typical corner
All the adder architectures are simulated at TT corner using high threshold transistors for supply
voltage varying from 0.9V to 0.2V. The PDP is measured and plotted for 8, 16 and 32 bit Kogge-
Stone adder as shown in Figure 4, Figure 5 and Figure 6 respectively.
Table 1: Threshold voltage values of 45nm bulk transistor models.
Figure 4: PDP of 8-bit Kogge-Stone adder using 45nm Bulk models in TT corner.
Figure 5: PDP of16-bit Kogge-Stone adder using 45nm Bulk models in TT corner.
6. International Journal of VLSI design & Communication Systems (VLSICS) Vol.8, No.6, December 2017
6
From the plots it can be observed that, at a supply voltage 0.3V, all the adders had the least PDP.
Using 0.3V as the supply voltage, MVT and FBB techniques are applied to further improve the
performance of the adders. The PDP (fJ) is measured at different temperature points and are
presented in Table 2- Table 4 for 8, 16 and 32 bit K-S adders respectively.
Figure6: PDP of32-bit Kogge-Stone adder using 45nm Bulk models in TT corner.
Table 2: PDP (fJ) of 8 bitK-S adder for TT corner.
Temp(C) 120 100 75 50 25
HVT 0.9978 0.756 0.5451 0.411 0.35
FBB 0.98 0.74 0.5315 0.399 0.334
MTV 7.826 6.95 5.989 5.05 4.33
Table 3: PDP (fJ) of 16 bitK-S adder for TT corner.
Temp(C) 120 100 75 50 25
HVT 2.794 2.008 1.514 1.138 0.96
FBB 2.679 2.019 1.441 1.077 0.899
MTV 9.553 8.202 6.716 5.349 4.301
Table 4: PDP (fJ) of 32 bitK-S adder for TT corner.
Temp(C) 120 100 75 50 25
HVT 7.64 5.771 4.117 3.076 2.574
FBB 7.229 5.437 3.868 2.873 2.383
MTV 14.052 11.844 9.485 7.403 5.879
Figure 7 shows the graphical analysis of the Kogge-Stone adder performance for the three applied
techniques i.e. high threshold voltage (HVT), forward body biasing and multi threshold voltage in
TT corner for a temperature of 25̊C and at supply voltage of 0.3V.
7. International Journal of VLSI design & Communication Systems (VLSICS) Vol.8, No.6, December 2017
7
Figure 7: Kogge-Stone adder performance for various bit widths for TT process corner for HVT, FBB and
MVT techniques.
From the Figure 7, it can be observed that the PDP obtained using the FBB technique shows an
effective improvement compared to HVT and MVT techniques. Even though both the techniques
i.e. FBB and MVT help in improving the performance when compared to HVT technique, they
simultaneously increase the average power. The forward biasing technique maintains a balance
between delay reduction and increase in average power, thus reducing the power delay product
when compared to other two techniques. The percentage of energy savings by FBB is
significantly higher than the MVT technique.
4.2. Slow-Slow corner
All the adder architectures are also simulated at SS corners using high threshold transistors for
supply voltage varying from 0.9V to 0.2V. The PDP is measured and plotted for 8, 16 and 32 bit
Kogge-Stone adders and is given in Figure 8, Figure 9, and Figure 10 respectively.
Figure 8: PDP of 8-bit Kogge-Stone adder using 45nm Bulk models in SS corner.
8. International Journal of VLSI design & Communication Systems (VLSICS) Vol.8, No.6, December 2017
8
Figure 9: PDP of16-bit Kogge-Stone adder using 45nm Bulk models in SS corner.
Figure 10: PDP of32-bit Kogge-Stone adder using 45nm Bulk models in SS corner.
From the results presented, it can be observed that approximately at 0.3V of supply voltage, all
the adders exhibit the lowest PDP. Using 0.3V as the supply voltage, MVT and FBB techniques
are applied to further improve the performance of the adders. The PDP (fJ) is measured at
different temperature points and given in Table 5- Table 7.
Table 5: PDP (fJ) of 8 bit adder for SS corner.
Temp(C) 120 100 75 50 25
HVT 0.738 0.541 0.364 0.249 0.192
FBB 0.732 0.526 0.347 0.239 0.1896
MTV 2.81 2.23 1.628 1.158 0.79
Table 6: PDP (fJ) of 16 bit adder for SS corner.
Temp(C) 120 100 75 50 25
HVT 2.092 1.532 1.027 0.7028 0.537
FBB 2.027 1.455 0.957 0.66 0.524
MTV 7.441 6.446 4.853 3.657 2.619
9. International Journal of VLSI design & Communication Systems (VLSICS) Vol.8, No.6, December 2017
9
Table 7: PDP (fJ) of 32 bit adder for SS corner.
Temp(C) 120 100 75 50 25
HVT 5.786 4.277 2.83 1.926 1.463
FBB 5.651 3.969 2.604 1.791 1.491
MTV 11.53 8.991 6.431 4.549 3.141
Figure 11: Kogge-Stone adder performance for various bit widths for SS process corner for HVT, FBB and
MVT techniques.
From the Figure 11, it can be observed that the PDP in FBB technique shows an effective
improvement compared to HVT and MVT techniques. Even though both the techniques i.e. FBB
and MVT, help in improving the performance when compared to HVT technique, they
simultaneously increase the average power. The FBB technique maintains a balance between
delay reduction and increase in average power, thus reducing the PDP when compared to other
two techniques. The percentage of energy savings by FBB is significantly higher than the MVT
technique.
4.3. Fast-Fast corner
All the adders are simulated using the same procedure described earlier for the TT and SS
corners.
Figure 12: PDP of 8-bit Kogge-Stone adder using 45nm Bulk models in FF corner.
10. International Journal of VLSI design & Communication Systems (VLSICS) Vol.8, No.6, December 2017
10
Figure 13: PDP of16-bit Kogge-Stone adder using 45nm Bulk models in FF corner.
Figure 14: PDP of 32-bit Kogge-Stone adder using 45nm Bulk models in FF corner.
Table 8: PDP (fJ) of 8 bit adder for FF corner.
Temp(C) 120 100 75 50 25
HVT 13.07 9.67 6.53 4.457 3.211
FBB 12.82 9.62 6.38 4.37 3.12
MTV 136.42 119.15 94.69 67.93 54.77
Table 9: PDP (fJ) of 16 bit adder for FF corner.
Temp(C) 120 100 75 50 25
HVT 36.57 26.98 18.15 12.31 8.8
FBB 35.02 26.2 17.31 11.77 8.39
MTV 157.6 143.13 108.7 83.2 65.1
11. International Journal of VLSI design & Communication Systems (VLSICS) Vol.8, No.6, December 2017
11
Table 10: PDP (fJ) of 32 bit adder for FF corner.
Temp(C) 120 100 75 50 25
HVT 100.74 74.23 49.79 33.64 23.91
FBB 95.13 71.24 46.65 3.66 22.43
MTV 233.51 191.65 148.94 115.56 88.93
Figure 15: Kogge-Stone adder performance for various bit widths for FF process corner for HVT, FBB and
MVT techniques.
From the Figure 15, it can be observed that the PDP in FBB technique shows an effective
improvement than HVT and MVT techniques. The FBB technique maintains a balance between
delay reduction and increase in average power, thus reducing the PDP when compared to other
two techniques. The percentage of energy savings by FBB is significantly higher than the MVT
technique.
5. CONCLUSIONS
The Kogge-Stone adder presented in this research work is efficient enough to operate in the sub-
threshold region. Initially, the Kogge-Stone adder using HVT is efficiently employed for energy
constrained operations using NCSU 45nm bulk CMOS process at SS, TT and FF corners
operating in subthreshold region at a frequency of 50 KHz to obtain the minimum energy
operating point.
For better energy savings at the minimum operating point, performance improvement techniques
such as forward body biasing and the use of multi threshold voltage transistors are explored. In
forward body biasing technique, a body bias voltage is utilized which lowers the threshold
voltage of the transistor cells which in turn improves the performance of the Kogge-Stone adder
circuit.
In the multi threshold voltage technique, in an adder circuit consisting of high threshold voltage
cells, the low threshold voltage cells are placed in the critical path of the circuit to improve the
performance of the Kogge-Stone adder circuit. Based on the comparative analysis performed,
among all the three techniques discussed in this research work, the working of the Kogge-Stone
adder in forward body bias technique proved to be both efficient and robust with a lower delay
and power-delay product values compared to the other two techniques. In the subthreshold region
of operation for a supply voltage of 0.3V, the adder had the least PDP. Even though the FBB
technique exhibited the lower PDP values, HVT technique has marginally lower PDP. The PDP
12. International Journal of VLSI design & Communication Systems (VLSICS) Vol.8, No.6, December 2017
12
obtained using MVT was at least twice that of the PDP obtained using the FBB technique for all
the adders.
REFERENCES
[1] Alice Wang , Benton Highsmith Calhoun , Chandrakasan. “Sub-threshold Design for Ultra-Low
Power Systems”, Integrated Circuits and Systems, 2006th Edition.
[2] Priya Gupta, Anu Gupta and Abhijit Asati, “Power-Aware Design of Logarithmic Prefix Adders in
Sub-threshold Regime: A comparative Analysis”, International Conference on Information and
Communication Technologies, 2015, pp. 1401-1408.
[3] T. McPherson et al., “760 MHz G6 S/390 Microprocessor Exploiting Multiple V_t and Copper
Interconnects,” Proceedings of the IEEE International Solid-State Circuits Conference, pp. 96-97,
February 2000.
[4] J.T. Kao and A. Chandrakasan, “Dual-Threshold Voltage Techniques for Low Power Digital
Circuits,” IEEE Journal of Solid-State Circuits, Vol. 35, No. 7, pp. 1009-1018, July 2000.
[5] A. Raychowdhury, B. C. Paul, S. Bhunia, and K. Roy, “Computing with sub-threshold leakage:
Device/circuit/architecture co-design for ultralow power sub-threshold operation”, IEEE Trans. Very
Large Scale Integr. (VLSI) Syst., vol. 13, no. 11, pp. 1213–1224, Nov. 2005.
[6] J. P. Campbell, L. C. Yu, K. P. Cheung, J. Qin, J. S. Suehle, A. Oates, and K. Sheng, “Large random
telegraph noise in sub-threshold operation of nano-scale n MOSFETs”, in Proc. IEEE Int. Conf. IC
Design Technol., May 2009, pp. 17–20.
[7] Priya Gupta, Anu Gupta and Abhijit Asati, “A Review on Ultra Low Power Design Technique:
Subthreshold Logic”, International Journal of Computer Science and Technology, April-June 2013,
pp. 64-71.
[8] D.Bol, R.Ambroise, D.Flandre and J.D.Legat. “Interests and limitations of technology scaling for
subthreshold logic,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 17, no.
10, pp. 1508–1519, 2009.
[9] S. Narendra, D. Antoniadis, and V. De, “Impact of Using Adaptive Body Bias to Compensate Die-to-
Die V_t Variation on Within-die V_t variation,” Proceedings of the IEEE International Symposium
on Low Power Electronics and Design, pp. 229-232, August 1999.
[10] A. Keshavarzi et al., “Technology Scaling Behavior of Optimum Reverse Body Bias for Standby
Leakage Power Reduction in CMOS IC’s,” Proceedings of the IEEE International Symposium on
Low Power Electronics and Design, pp. 252-254, July 1999.
[11] C. Wann et al., “CMOS with Active Well Bias for Low Power and RF/Analog Applications,”
Proceedings of the IEEE International Symposium on VLSI Technology, pp. 158-159, June 2000.
[12] S. –F. Huang et al., “Scalability and Biasing Strategy for CMOS with Active Well Bias,” Proceedings
of the IEEE International Symposium on VLSI Technology, pp. 107-108, June 2001.
[13] Raghav, Himadri Singh, Sachin Maheswari, and B. Prasad Singh. "Performance Analysis of
Subthreshold 32-Bit Kogge-Stone Adder for Worst-Case-Delay and Power in Sub-micron
Technology." Communications in Computer and Information Science VLSI Design and Test (2013):
100-07.
[14] Gupta, S. K., A. Raychowdhury and K. Roy. "Digital Computation in Subthreshold Region for
Ultralow-Power Operation: A Device-Circuit-Architecture Codesign Perspective." Proceedings of the
IEEE 98, no. 2 (2010): 160-190.
13. International Journal of VLSI design & Communication Systems (VLSICS) Vol.8, No.6, December 2017
13
AUTHORS
Sruthi Nanduru received her M.S in Computer Engineering from the University of Texas at San Antonio
in August 2016. She is currently working in Intel. Her research interests include, ultra low power
computing, low power VLSI systems, computer architecture and performance evaluation.
Santosh Koppa received his Ph. D. in Electrical Engineering from the University of Texas at San
Antonio. He is currently working in Global Foundries US Inc. His research interests include, ultra low
power analog and digital circuits, Internet of Things, low power VLSI systems.
Eugene John received his Ph. D. in Electrical Engineering from the Pennsylvanian State University. He is
currently a professor in the department of electrical and computer engineering. His research interests
include, ultra-low power computing, low power VLSI systems, integrated circuit IP security and trust and
computer architecture and performance evaluation