SlideShare a Scribd company logo
Photolithography
Written by: Scotten W. Jones
i
Preface
The following document was written in 2000 as a chapter on Photolithography for inclu-
sion in a highly technical - text book on Silicon Integrated Circuit Process Technology. For
marketing reasons we abandoned the book without completing it but this was one of several
chapters that are complete. This chapter is a follow on to the chapters on diffusion and silicon
properties we posted previously. We hope you find it useful.
Please note that this material was written back when we planned to publish it as a hard
cover book in black and white and is not in color the way all of the current IC Knowledge
reports are.
Scotten W Jones
President
IC Knowledge LLC
February 20, 2008
ii
Contents
1.1. Introduction 1
1.2. Photoresist chemistry 2
1.3. Surface preparation 24
1.4. Coating 27
1.5. Soft bake 32
1.6. Optical principles 36
1.7. Optical exposure 60
1.8. Process and resolution enhancements 78
1.9. Non optical exposure 85
1.10. Post exposure bake 91
1.11. Develop 92
1.12. Hard bake 95
1.13. Photo stabilization 97
1.14. Photolithography process flows 97
1.15. Multilevel photoresists 99
1.16. Multilayer photoresist 100
1.17. Metrology and inspection 101
Copyright © 2000 IC Knowledge LLC, all rights reserved 1
5 Photolithography
5.1. Introduction
5.2. Photoresist chemistry
5.3. Surface preparation
5.4. Coating
5.5. Soft bake
5.6. Optical principles
5.7. Optical exposure
5.8. Process and resolution enhancements
5.9. Non optical exposure
5.10. Post exposure bake
5.11. Develop
5.12. Hard bake
5.13. Photo stabilization
5.14. Photolithography process flows
5.15. Multilevel photoresists
5.16. Metrology
5.1. Introduction
The incredible progress the IC industry has made in packing more and more functionality
onto a single chip has been driven by photolithography to a greater extent than any other area of
processing. From 1970 when 10␮m lines were the predominant linewidth, to 2000 when
0.18␮m linewidths are in volume production, linewidths have shrunk by nearly two orders of
magnitude -see figure 5.1. In this chapter photolithography will be reviewed. The chapter opens
with a discussion of photoresist chemistry followed by a detailed step by step discussion of the
photolithography process. Supplemental material on optics that is required to understand opti-
cal exposure will be presented along with the latest optical exposure resolution enhancement
techniques. Some candidate post optical exposure systems will be presented and overall photo-
lithography process flows will be reviewed. The chapter closes with a discussion of metrology
equipment relevant to photolithography.
2 Copyright © 2000 IC Knowledge LLC, all rights
Figure 5.1: Minimum linewidth trends [1],[2],[3].
5.2. Photoresist chemistry
The word Photoresist comes from the combination of photosensitive and acid resistant, i.e.
a photoresist can be photographically patterned and will stand up to acid etch, enabling pat-
terned etching. A photoresist useful for IC fabrication must exhibit several characteristics in
addition to photosensitivity and acid resistance - they are:
1. Coating - must be able to form a thin, uniform, pinhole free film.
2. Adhesion - adheres to the underlying substrate and does not “lift off” during subsequent
processing.
3. Sensitivity -must be sensitive to the wavelength of radiation utilized for exposure.
4. Resolution - the ability to resolve the required minimum feature size being printed.
5. Developing - must exhibit a significant difference in develop rate between the exposed and
unexposed areas without pattern distortion.
6. Process resistance - withstands plasma etch processes, high dose ion implantation, and wet
etchants.
7. Easy removal - must be removable following processing.
8. Thermal stability - must withstand bake processes without pattern distortion.
9. Stability - the formulation must be stable enough to be stored for reasonable periods of time
without requiring prohibitively difficult storage conditions.
10. Safety - the material cannot present an unacceptable health hazard.
10
1
Intel X86 MPU
ITRS MPU - gate
DRAM
IRTS DRAM - 1/2 pitch
0.1
4004
8008
1K 4K
16K
64K
256K
1M
4M
16M
64M
64M
256M
8080
8085
8086
8088
286 386
486
P1
P1 PP
PII
PII
PIII
0.01
1970 1980 1990 2000 2010
Year
Linewidth(m)␮
Copyright © 2000 IC Knowledge LLC, all rights reserved 3
Practical photoresists meeting the above criteria are available from a number of suppliers
such as Shipley, Clariant/Az, Olin Microelectronics, Sumitomo Chemical Co., etc.
Practical photoresists are generally composed of three major components:
1. Polymer - the polymer is the backbone of the photoresist. Following exposure and develop-
ing the polymer is the major constituent of the patterned photoresist film.
2. Photo active compound (PAC) - the PAC is the constituent of the photoresist that undergoes
a reaction when exposed to light. The photoactive compound may be combined with the
polymer.
3. Solvent - keeps the photoresist in liquid form until after coating.
Photoresist may be classified as negative or positive photoresist.
• Negative photoresist - relatively developer soluble until exposed to light at which point the
exposed portion exhibits decreased developer solubility.
• Positive photoresist - relatively developer insoluble until exposed to light at which point
the exposed portion exhibits increased developer solubility.
Figure 5.2 illustrates negative and positive photoresist behavior.
Figure 5.2: Negative and positive photoresist.
5.2.1. Photochemistry basics
In order for exposure to light to change the developer solubility of a photoresist film - some
type of photochemical reaction must take place. The 1st law of photochemistry - the Grotthus-
Drapper law states
• First law of photochemistry - only light that is absorbed can cause chemical change.
When a molecule absorbs a photon of light, the molecule is promoted to an excited state.
Most molecules have an even number of electrons in the ground state and the electrons are spin
paired, i.e. the molecule has no net spin angular momentum and the state is referred to as a sin-
glet. The singlet ground state is typically denoted as S0. In most absorption processes an elec-
tron is excited to a higher energy level, S1, S2, S3 etc. - see figure 5.3a. Each singlet state has a
corresponding triplet state where the electrons are not spin paired, denoted, T1, T2, T3, etc. - see
a) Negative photoresist b) Positive photoresist
Expose
Exposed area
Unexposed area
Exposing radiation Exposing radiation
Develop
Patterned
photomask
4 Copyright © 2000 IC Knowledge LLC, all rights
figure 5.3b (the states are called triplets because in a magnetic field they break up into three
levels).
Figure 5.3: Singlet and triplet states
Due to the same spin pairing of the outermost electrons in the triplet state, the Pauli exclu-
sion principle forces the electrons to be more widely spaced resulting in the triplet state having
a lower energy than the corresponding singlet state. Non radiative transitions between states
with the same spin are referred to as internal conversions, where an electron transitions from a
higher electronic state to the upper vibrational level of the lower state, for example S2 -> S1 or
T2 -> T1. These transitions are isoenergetic. When a non radiative transition occurs between
states with different electron spins an intersystem crossing occurs and the transition is followed
by a vibrational cascade, for example S1 -> T1 or S2 -> T2. Molecules in an exited vibrational
state, singlet or triplet may undergo radiative transitions where the molecules relax by emitting
a photon, non radiative transitions for example vibrational, or they can undergo a variety of
photochemical reactions as illustrated in figure 5.4 [4].
The activation energies for chemical reactions are generally in the 0.43 - 4.33eV range.
The energy of an incident photon is given by
(5.1)
where, ␭ is the wavelength of the incident light, h is planks constant and C is the speed of light
in a vacuum (see appendix C for the values of h and C).
Table 5.1 presents the photon energy for some current and planned exposure wavelengths.
The second law of photochemistry is the Stark - Einstein law (not really a law):
• Second law of photochemistry - one quantum of light is absorbed per molecule of absorb-
ing and reacting substance.
The quantum yield of the process is defined as
(5.2)
S0 S1 T1
Absorption
Intersystem
crossing
Photon
a) Absorption b) Intersystem crossing
E
hC
λ
-------=
φ
# of molecules decomposed or formed
# of quanta absorbed
--------------------------------------------------------------------------------------------=
Copyright © 2000 IC Knowledge LLC, all rights reserved 5
Quantum yields of photochemical reactions at room temperature range over approximately
seven orders of magnitude from 1x10-2
to 1x105
.
Figure 5.4: Primary photochemical reactions [4].
Two other important laws of photochemistry are:
• Lambert law - the fraction of incident radiation absorbed by a transparent medium is inde-
pendent of the intensity of the incident radiation and each successive layer of the medium
absorbs an equal fraction of the incident radiation.
• Beer law - the amount of radiation absorbed is proportional to the number of absorbing
molecules.
If light with an initial intensity I0 is incident on a photoresist film, then the portion of the
incident intensity absorbed in a thickness dx is given by (see figure 5.5)
(5.3)
where, ␣ is the absorption coefficient and C is the absorbing molecules per unit volume.
Table 5.1: Photon energy of exposure wavelengths.
Wavelength
(nm)
Energy
(eV)
436 2.84
365 3.40
248 5.00
193 6.42
157 7.90
(S ) ABC1
S1 - singlet, T - triplet1
AB + C• •
E + F
Dissociation into radicals
Decomposition into molecules
Intermolecular rearrangement
Photoisomerization
Hydrogen ion abstraction
Photodimerization
Photosensitization
Photoionization
External electron transfer
Internal electron transfer
ACB
(ABCH) + R• •
ABC + prod
ABC + e
+ -
AB + C
+ -
ABC + D
+ (o r-) - (o r+)
(ABC)2
RH
D
ABC
ABC*(S )1
ABC*(S )0
ABC*(T ) or1
(T ) ABC1
ΔI
I0
------ αCdx=
6 Copyright © 2000 IC Knowledge LLC, all rights
The specific value of ␣ depends on the photoresist film and the wavelength of the incident
radiation. Curves for various photoresists are available and may be expressed as optical density
or absorbance versus wavelength, where optical density is defined as (see also figure 5.5)
(5.4)
and
(5.5)
Figure 5.5: Photoresist exposure energy absorption.
Absorbance curves for various photoresist will be presented later in this section.
5.2.2. Negative photoresist
The first commercial photoresist for semiconductor production was a negative photoresist -
Kodak Thin Film Resist (KTFR) invented by Martin Hepher and Hans Wagner [5]. KTFR went
on to become the workhorse photoresist for the semiconductor industry from 1957 until
approximately 1972 when minimum dimensions reached approximately 2␮ms - the resolution
limit for KTFR [6]. Due to the popularity of KTFR, KTFR will be discussed in detail as an
example of a negative photoresist. It should be noted that there are other successful negative
photoresist formulations.
The polymer in KTFR is a cyclized poly(cis-isoprene) of molecular weight of approxi-
mately 150,000. A synthetic rubber is treated with acidic reagents to reduce the degree of
unsaturation in the rubber and stabilize the rubber against O2 mediated cross-linking [6].
• Unsaturation - the total number of multiple bonds or rings in a molecule.
The preparation of synthetic cis-1,4-polyisoprene is illustrated in figure 5.6. Notice how
two double bonds are replaced by a single double bond, i.e., the degree of unsaturation is
reduced.
OD Log
I0
I
----=
I I0 I– 0
10
αCx–
=
I0 at 0
I at x
Film
Incident
radiation
Intensity x
dx
Copyright © 2000 IC Knowledge LLC, all rights reserved 7
Figure 5.6: Preparation of synthetic cis-1,4-polyisoprene [7].
The cyclization process lowers the viscosity of the rubber and raises the softening point
from 30 to nearly 60o
C [6].
The polyisoprene from figure 5.6 is cyclized to produce resin (see figure 5.7).
• Cyclization - formation of a ring compound from a chain by formation of a new bond.
Cyclization is accomplished by reacting acidic reagents with shredded rubber. Suitable
acids include: sulfuric acid, p-toluene sulfonic acid, p-toluene sulfonyl chloride, and phenol
sulfonic acid derivatives [7].
Figure 5.7: Polyisoprene resin formation [7].
There are several possible cyclization modes that may result (see figure 5.8). The cyclicity
is given by
(5.6)
where, n is the number of isoprene units.
CH2 CH2CH2 CH2
CH3 CH3
CH CHC Cn
n
CH2
CH2
CH2
CH2
CH2
CH2
CH2
CH2
HC
HCH
HC
HCH
HC
HC
HC
HC
HCH
HCH
HCH
HCH
CH3
CH3
CH3
CH3
CH3
CH3
CH3
CH3
C
C
C
C
C
C
C
C
H
C
H
H
C
H
H
C
H
H
C
H
Rubber (natural or synthetic)
Repeating structure of
polyisoprene polymer. Note:
there is one double bond for
each five carbon atoms.
Resin
Isomeric after structure
monacyclization
(D’Ianni [8])
Note: there is one double bond
for each ten carbon atoms.
cyclicity n 1–=
8 Copyright © 2000 IC Knowledge LLC, all rights
Figure 5.8: Cyclization modes [7].
The properties of polyisoprene before and after cyclization are presented in table 5.2.
The cyclization process creates a more compact and rigid structure as double bonds are
eliminated. From table 5.2, the density, refractive index and softening point all increase and the
viscosity decreases during cyclization.
• Viscosity - an internal property of a fluid that offers resistance to flow.
Photoresist properties such as photospeed, adhesion and thermal behavior all depend on the
specifics of the cyclization process [21]. High molecular weight cyclized rubbers show greater
photo sensitivity but also show poorer adhesion and are more difficult to develop cleanly.
Cyclized rubber does not absorb light above 300nms and does not undergo significant curing
under ultraviolet (UV) exposure. In order to render cyclized rubber photosensitive a sensitizer
is used. The sensitizer used in KTFR is a bis-arylazide, 2,6-bis(4-azidobenzal)-4-methylcyclo-
hexanon.
• Azides - compounds containing one or more N3 group.
2,6-bis(4-azidobenzal)-4-methylcyclohexanone is synthesized by condensation of para-azi-
dobenzaldehyde with a substituted cyclohexane - see figure 5.9.
Table 5.2: Properties of polyisoprene before and after cyclization [21].
Property Un-cyclized Cyclized
Density 0.920 0.992
Refractive index 1.520 1.545
Softening point (o
C) 28 50-65
Intrinsic viscosity 3-4 0.49-0.36
CH2
CH2 CH2
CH2
CH2 CH2
CH3
CH3
CH3 CH3
CH2
CH3 CH3
CH2
CH2
CH3
CH3
a) D’Ianni [8] b) Isomers
c) Bicyclic
Copyright © 2000 IC Knowledge LLC, all rights reserved 9
Figure 5.9: 2,6-bis(4-azidobenzal)-4-methylcyclohexanone sensitizer formation [6].
In order to effectively cross-link cyclized rubber the sensitizer must have two reactive sites.
Upon exposure to light, the N3 groups terminating the ends of the sensitizer may give up an N2
molecule and leave a reactive nitrogen radical with 6 rather than 8 electrons in the valence shell
- referred to as a nitrene - see figure 5.10.
Figure 5.10: bis-arylazide sensitizer reaction with light.
The exposure reaction may be monophotonic with a single photon breaking 2 - N2 mole-
cules free leaving a nitrene at each end of the bis-arylazide, or biphotonic where two photons
are required to create a nitrene at each end.
The resulting nitrene may exist in the single or triplet state and is highly reactive. There are
5 probable nitrene reactions [21]:
1. Addition to olefins - nitrene additions to double bonds to form 3 membered azirdine ring
compounds. This reaction is believed to be restricted by low concentration and sterically
hindered nature of the double bond in cyclized rubber.
2. Hydrogen abstraction - nitrene abstraction of hydrogen atoms from cyclized rubber fol-
lowed by recombination of the nitrogen and carbon radicals to form secondary amines.
These reactions occurring at both ends of the bis-arylazide lead to polymer crosslinking -
see figure 5.11. Polymer crosslinking reduces the solubility of the photoresist in developer.
Figure 5.11: bis-arylazide sensitizer - polymer cross - linking.
+ 2
CH3
O C
HO
N3
CH
CH3
O
CH N3N3
NN R
N2 N2
LightLight
NN
Polymer
Polymer
R
10 Copyright © 2000 IC Knowledge LLC, all rights
• Amine - a simple compound containing an ammonia group such as RNH2, R2NH or R3N,
where R is either an akyl or aryl hydrocarbon radical.
3. Dimerization - nitrogen-nitrogen double bonds form between bis-arylazide sensitizers by
(5.7)
This reaction competes with crosslinking, but is inconsequential as long as the sensitizer
concentration isn’t too high.
4. Azide decomposition - a nitrene - nitrogen bond forms between bis-arylazide sensitizers by
(5.8)
Once again this reaction competes with crosslinking, but once again is inconsequential as
long as the sensitizer concentration isn’t too high.
5. Oxidation - an oxygen atom reacts with a nitrene preventing cross linking by
(5.9)
Oxidation is minimized by limiting oxygen availability during exposure. Commercial
exposure systems typically provide a nitrogen “blanket” during exposure.
Due to reactions 3 and 4, there exists an optimum sensitizer level above which reactions 3
and 4 become prevalent and below which the photoresist does not exhibit sufficient photo-sen-
sitivity.
Because bis-arylazide sensitizers evolve nitrogen during exposure the exposure reaction
rate must be controlled by limiting the incident exposure intensity in order to prevent nitrogen
bubble formation in the film. Nitrogen bubbles can break down the film adhesion and effect
resolution.
The absorbance of a bis-arylazide sensitizer versus wavelength is illustrated in figure 5.12.
Figure 5.12 also illustrates the extended absorbance of bis-arylazide that has undergone
extended conjugation with vinylic groups. Bis-arylazide sensitivity drops off to near zero at
400nm, but with extended conjugation the sensitivity can be extended to longer wavelengths.
The longer wavelength sensitivity can be useful with exposure systems where the light source
is filtered to only include a single wavelength such as 436nm (G-Line) systems (see section 5.6
and 5.7).
• Conjugation - alternating single and double bonds.
In the case of bis-arylzides the single and double bonds connecting the carbon rings as
shown in figure 5.13a are “extended” as illustrated in figure 5.13b.
The solvents for cyclized rubber photoresist include, toluene, xylene, and halogenated
hydrocarbons, with xylene being the most common. The structures of xylene and toluene are
illustrated in figure 5.14. There are three forms of xylene illustrated that are found mixed
together in commercial xylene, metaxylene is the predominant form.
Following exposure, cyclized rubber photoresist developing is performed by exposing the
photoresist to solvents with a solubility parameter similar to the solubility parameter of the
photoresist - “like dissolves like” - for example, stodard solvent or xylene ␦ = 8.8.
• Solubility parameter - the solubility parameter is defined as
R N:– :N R R N–→–+ N R–=
R N:– N3 R R N–→–+ N R– N2+=
R N– 1 2⁄ O2 R N–→+ O=
Copyright © 2000 IC Knowledge LLC, all rights reserved 11
(5.10)
where, D is density, ⌬HV is the heat of vaporization, T is the temperature in o
K and M is the
molecular weight. For polymers, ␦ is found by noting the range of ␦ parameter solvents the
polymer dissolves in.
Figure 5.12: Absorbance versus wavelength for bis-arylazide sensitizer [22].
Figure 5.13: Extended conjugation of bis-arylazide [22].
Figure 5.14: Cyclized rubber photoresist solvents.
δ
ΔEV
V
----------
D ΔHV RT–( )
M
----------------------------------
⎝ ⎠
⎛ ⎞
1/2
= =
0.0
0.2
0.4
0.6
0.8
1.0
1.2
1.4
1.6
bis arylazides
After
extended
conjugation
360nm
400nm
1.8
2.0
300 400
Wavelength (nm)
Absorbance
500
CH3
O
a) bis-arylzide b) bis-arylzide after extended conjugation
N3N3
CH3
O
N3 N3
CH3 CH3 CH3 CH3
CH3
CH3
CH3
Orthoxylene Metaxylene Paraxylene
a) Xylenes b) Toluene
12 Copyright © 2000 IC Knowledge LLC, all rights
Upon exposure to the developing solvent the cyclized rubber polymer swells. Where the
polymer is crosslinked from exposure the cross-links hold the polymer together and where the
polymer is not crosslinked the polymer strands are washed away by the developer - see figure
5.15.
Figure 5.15: Cyclized rubber photoresist developing.
One consequence of the solvent based developing process is that photoresist swells in both
the un-exposed and exposed area. At the completion of developing the photoresist is rinsed
with a solvent which is miscible with developer but not with the polymer, for example butyl
acetate, ␦ ~12.4 or a isopropanol/trichlorethylene mixture. The rinsing step halts developing
and reduces the photoresist swelling. The final photoresist image is distorted to some degree by
the developing process and ultimately this limits the resolution of cyclized rubber photoresist.
Figure 5.16a illustrates cyclized rubber photoresist developing in the presence of “good” adhe-
sion and figure 5.16b illustrates the effect of developing on resist with “poor” adhesion.
Figure 5.16: Effect of adhesion on cyclized rubber photoresist developing.
In addition to the base polymer, photo sensitizer and solvent, negative photoresist will
commonly contain additives to improve the performance of the resist. For example, negative
photoresists can react with nitrogen dioxide and ozone to form NO2, NO3 and NO polar groups
that interfere with the developing of the resist. The result is “scumming” and anti-scumming
additives may be used to prevent the undesired reactions.
5.2.3. Positive photoresist
By 1972 the limitations of negative photoresists had been reached and Diazonaphtho-
quinone/novolac (DQN) based positive photoresist had taken over the IC photolithography
market. For the next quarter century DQN based photoresists held over 90% market share [6].
a) Unexposed region b) Exposed region
a) "Good" adhesion
Exposure
Exposed regions Exposed regions
During
develop
b) "Poor" adhesion
Copyright © 2000 IC Knowledge LLC, all rights reserved 13
The base resin of DQN is novolak resin. Novolak resin is generated by reacting meta-cresol -
figure 5.17a, in excess with formaldehyde - figure 5.17b, the result is novolak resin - figure
5.17c. The excess cresol during the reaction results in both ends of the novolac resin being ter-
minated by phenol groups, therefore the novolak resin does not cross-link.
Figure 5.17: Novolak resin generation.
The photosensitive element in DQN photoresists is naphthoquinone diazide sulfonylchlo-
ride. Naphthoquinone diazide sulfonylchloride generation begins with hydroxy naphthalene
sulfonic acid - figure 5.18a, which undergoes nitration and reduction with sodium dithionite or
raney nickel catalyst - figure 5.18b, which is then reacted with HCl and NaNO2 -figure 5.18c,
and ClSO3H at 60oC to produce the sensitizer [7].
Figure 5.18: Generation of Naphthoquinone diazide sulfonylchloride [7].
The combination of naphthoquinone diazide sulfonylchloride and novolak resin creates a
photosensitive resin - DQN - figure 5.19.
OH OH
C O+
H
acid
HCH3 CH3
CH2
a) Meta-cresol
(in excess)
b) Formaldehyde c) Novolak resin
OH OH
OHO
O
SO H3 SO H3
SO H3SO H3
SO Cl2
NO2
NH2
N2
N2
a) Hydroxy naphthalene
sulfonic acid
b) Reduction by
Sodium dithionite or
raney nickel catalyst
d) ClSO H
at 60 C
3
o
e) Naphthoquinone diazide
sulfonylchloride
c) HCl
NaNO2
14 Copyright © 2000 IC Knowledge LLC, all rights
Figure 5.19: Generation of light sensitive novolak resin - DQN.
When exposed to light the naphthoquinone diazide sulfonylchloride undergoes a reaction,
see figure 5.20.
Figure 5.20: Naphthoquinone diazide sulfonylchloride photochemical reaction.
O
O
+
SO Cl2
SO2
N2
N2
a) Naphthoquinone diazide
sulfonylchloride
OH
CH3
CH3
CH2
CH2
b) Novolak resin
O O
+ H O2
N2 + N2
+ H2O
Hydration
Developing
NaOH
C
C ONa
O
COOH
H
H H
O
a) Naphthoquinone diazide
sulfonylchloride
b) Keto-carbene
c) Ketene d) Indene-3-
carboxylic acid
Light
SO Cl2
SO Cl2
SO Cl2
SO Cl2
SO Cl2
Copyright © 2000 IC Knowledge LLC, all rights reserved 15
When it is exposed to light naphthoquinone diazide sulfonylchloride undergoes a pho-
tolytic decomposition to an unstable keto-carbene and nitrogen - figure 5.20b. The keto-car-
bene immediately rearranges to a more stable ketene - figure 5.20c. The ketene structure is very
hydroscopic and immediately reacts with water to form carboxylic acid - figure 5.20d. This is
the Suss reaction [9] except that Suss believed that the carboxylic acid was indene-1-carboxylic
acid which was later shown to be indene-3-carboxylic acid [6]. The carboxylic acid is soluble
in basic developers.
The absorbance of light versus wavelength for novolak resin and naphthoquinone diazide
sulfonylchloride sensitizer is illustrated in figure 5.21.
Figure 5.21: Absorbance of novolak resin and naphthoquinone diazide sulfonylchloride
sensitizer versus exposing wavelength.
Also illustrated in figure 5.21 are arrows corresponding to intensity peaks in the output of a
mercury lamp. Mercury lamps were used as the exposing light source during the time period
when DQN was the dominant photoresist. At the beginning of the use of DQN, the broad band
output of the mercury lamp was used. As exposure systems became more sophisticated the
mercury lamp output was filtered to a narrow output band. Initially the 436nm output peak -
referred to as the G-line, was the predominant exposure wavelength. As minimum linewidths
continued to shrink, the 365nm - I-line wavelength began to be used (the effect of exposure
wavelength on resolution will be discussed in detail in section 5.6). Throughout the broad band
mercury, G-line and I-line exposure periods, DQN photoresist remained the dominant photore-
sist. I-line photoresists were improved formulations of DQN with greater consistency and opti-
mized additives.
As the photoresist is exposed at G or I-line, the sensitizer provides the principle absorbance
of the exposing radiation. As the sensitizer reacts to exposure, the sensitizer is “bleached” and
200
0
0.1
0.2
0.3
0.4
0.5
0.6
0.7
Resin Sensitizer
0.8
0.9
1.0
300
Wavelength (nm)
Absorbance
400 500
I-line
G-line
16 Copyright © 2000 IC Knowledge LLC, all rights
the absorption drops. The bleaching reactions allows relatively thick photoresist films to be
used and as the sensitizer “bleaches” the exposing radiation reaches deeper and deeper into the
photoresist.
During exposure a possible side reaction is the combination of a keto-carbene and a ketene
to form a dioxole - figure 5.21. Dioxole formation consumes two reactive specie, but is unlikely
to occur due to the short life of the keto-carbene specie and in dried photoresists the restricted
movement of molecules [10].
Figure 5.22: Dioxole formation during DQN exposure.
The solvents utilized to keep DQN photoresist in solution were typically cellosolve acetate
- ethylene glycol monoethyl ether acetate also referred to as EGMEA. Later in the development
of DQN photoresists health concerns about EGMEA led to the replacement of EGMEA with
PGMEA - propylene glycol monoethyl acetate.
The developing reaction for DQN photoresist is fundamentally different from negative
photoresists. Where negative photoresist developing is a physical reaction - swelling of the
polymer, DQN developing is a chemical etching reaction.
The photoresist in the exposed areas is rendered base soluble by exposure. Bases such as
sodium hydroxide - NaOH or more commonly tetra methyl ammonium hydroxide - TMAH -
(CH3)4NOH, will dissolve the exposed photoresist.
In unexposed regions of the photoresist the sensitizer - figure 5.23a, forms an azo couple -
figure 5.23c, with the resin - figure 5.23b, resisting the action of the developer solution. An
unfavorable reaction that can occur during developing is the reaction of the unexposed naph-
thoquinone diazide sulfonylchloride - figure 5.24a, with carboxylic acid - figure 5.24b, to form
azo dye - figure 5.24b. Azo dye formation is unfavorable unless the photoresist is underex-
posed in which case azo dye can cause scumming during develop.
A number of additives are utilized in commercial DQN photoresist formulations. Dyes are
added to mask discoloration of the resist during storage, surfactants are used to improve coating
uniformity, and a variety of additives are used to improve adhesion, heat resistance, dry etch
resistance, photospeed, and developing.
O
O
O
C
+
C O
a) Keto-carbene
c) Dioxole
b) Ketene
SO Cl2
SO Cl2
SO Cl2
SO Cl2
Copyright © 2000 IC Knowledge LLC, all rights reserved 17
Figure 5.23: Unexposed area of DNQ photoresist during developing.
Figure 5.24: Azo dye formation during develop.
5.2.4. 248nm photoresist
In order to continue shrinking minimum linewidths, the industry continued to explore the
use of shorter exposure wavelengths (see section 5.6 for a discussion of the effect of exposure
wavelength on minimum linewidths). The first production worthy tool capable of “deep ultravi-
olet - DUV” (140 to 300nm wavelength) exposure was the Perkin Elmer 500 Micrascan௡ sys-
tem [6]. The Perkin Elmer 500 utilized a mercury arc lamp with very low output in the DUV
region. Ideally photoresist for DUV would have much higher sensitivity than the “standard”
DQN photoresist in order to support short exposure times and therefore high exposure system
productivity. Another issue with DQN photoresist for DUV is the high absorbance of the
novolak resin in the DUV region - see figure 5.21.
O O
O O
O O
OH OHHO HO
+SO2 SO2
N2 N N
a) Sensitizer in
unexposed area
NaOH
developer
b) Resin c) Azo coupled resin
and sensitizer
C C
OH
OH
CH2
CH2
O
OH
N2
NN
+
COOH
COOH
H
H
H
H
a) Naphthoquinone diazide
sulfonylchloride
b) Indene-3-
carboxylic acid
c) Azo dye
SO Cl2
SO Cl2
SO Cl2
SO Cl2
18 Copyright © 2000 IC Knowledge LLC, all rights
In 1978, Jean Frechet and Grant Willson set out to design a chemically amplified photore-
sist with much higher sensitivity than existing photoresists [6]. In a chemically amplified pho-
toresist system a catalytic specie generated by exposure causes a cascade of subsequent
chemical reactions to occur. Frechet and Willson were joined by Hiroshi Ito and imaging sys-
tems were produced with two orders of magnitude greater sensitivity than DQN photoresists.
The original systems lacked sufficient resistance to subsequent processing but fairly quickly
the team developed a practical system based on the poly(p-hydroxystyrene) polymer - PHOST
[6] - see figure 5.25a.
Figure 5.25: PHOST and PBOCST polymers [6].
They found that the t-butylcarbonate protected monomer PBOCST - see figure 5.25b, was
more stable than unprotected p-hydroxystyrene [6]. They further found that the protected poly-
mer could be unprotected by acid treatment. The combination of poly(4-t-butoxycarbonloxy-
styrene) - PBOCST with a photoacid generator yielded a practical photoresist that could
produce a positive or negative tone image just by changing the developer. The resulting photo-
resist is referred to as tBOC. tBOC photoresists have two components:
• Acid generator - an onium salt cationic photoinitiator which upon exposure to light gener-
ates an acid by
(5.11)
- see figure 5.26.
• Protected polystyrene - PBHOST
The exposure deprotection reaction of tBOC is illustrated in figure 5.27
In figure 5.27 the reaction of the protected polymer PBOCST with an acid - figure 5.27a,
produces a deprotected polymer PHOST - figure 5.27b and additional acid - figure 5.27c. The
generation of acid during the deprotection reaction allows a single photo event to catalyze the
deprotection of multiple PBOCST molecules. Partially protected PHOST forms the base resin
for all modern advanced positive photoresists [23].
The tBOC photoresist can produce a positive image if a polar solvent such as alcohol or an
aqueous base is used for developing and produce a negative image if a non polar solvent such
as anisole is used.
CH2CH )n(
OH
(CH2CH)
CH3
CH3CH3
O
b) PBOCSTa) PHOST
O
O
C C
Ar3S
-
AsF6
-
hν AR2S Ar R HAsF6+⋅+⋅+→+
Copyright © 2000 IC Knowledge LLC, all rights reserved 19
Figure 5.26: Onium salt photoacid generators [24].
Figure 5.27: tBOC photoresist deprotection mechanism [23].
Following exposure a post exposure bake (PEB) is required to aide in the diffusion of the
acid and to drive the catalytic reaction. A problem occurs with chemically amplified photore-
sists when the photoresist is exposed to amines between the exposure and PEB steps. The
amines can neutralize the acids in the surface of the photoresist and lead to overhangs or T tops
on the photoresist lines. Improved photoresist formulations and filtration to remove amines to
<1ppb are effective in eliminating T-topping (amine levels of >10ppb are not uncommon in IC
fabrication facilities.
Figure 5.28 illustrates the absorbance of PHOST, PBOCST and an onium salt PAG versus
wavelength. It can be seen that the absorbance of PBOCST and PHOST at 248nms is
acceptably low for use as a base polymer.
a) Diazonium salts
b) Diphenyliodonium salts
c) TriphenylSulfonium salts
d) Tetraphenylphosphonium salts
N PF2 6
IAsF6
SSbF6
PbF6
2
3
4
(CH2CH)
CO2
(CH2CH)
CH3 CH3
CH2
CH3
CH3
CH3 CH3
CH3
O OH
+
+
a) Lipophilic
PBOCST
b) Hydrophilic
PHOST
c) Regeneration
of acid
O
O
H C3
C C C
C
H
+
H
+
+
20 Copyright © 2000 IC Knowledge LLC, all rights
Figure 5.28: Absorption spectra for polyhdroxy styrene photoresist components [24].
5.2.5. 193nm photoresist
At the time that this book is being written, 248nms is the mainstream wavelength for criti-
cal exposures at the current state-of-the-art minimum linewidth (180nms). In order to continue
to shrink minimum linewidths, 193nm exposure wavelengths are being investigated for 130nm
and smaller minimum linewidth production. From figure 5.28 it can be seen that the absorbance
of PBOCST and PHOST below 248nms is unacceptably high, therefore 193nm photoresist will
once again require a new base polymer - see figure 5.29. The best 193nm polymers available
today include [25]:
• Acrylic polymers (acrylate based platforms with attached alicyclic structures). Acrylics
provide high resolution but relatively poor etch resistance. The incorporation of
cycloaliphatic side groups in the polymer backbone reduces the etch rate.
• Cyclic olefins provide intrinsically good etch resistance. A nonbornene copolymer with a
transition metal catalyst developed by BF Goodrich and IBM demonstrated sub-100nm res-
olution in 1999 [25].
Issues with PAG selection and photoresist outgassing also remain.
5.2.6. 157nm photoresist
The formulation of photoresist for 157nm exposure wavelengths is still in the very early
stages. A Curtin, et.al. at MIT have found that 157nm absorbance is dominated by C (2p) elec-
trons and that the bonding configuration is expected to greatly influence the absorption [26].
Acrylic, Phenolic, and Cyclo-olefin based photoresists can all achieve acceptable optical trans-
mission at 157nms if the photoresist thickness is <90nms, however, industry experience sug-
gests that defect density levels will be unacceptably high at such a thin photoresist thickness
200
0
0.1
0.2
0.3
0.4
0.5
0.6
0.7
PHOST
Ph l2
AsF6
PBOCST
0.8
0.9
1.0
300
Wavelength (nm)
Absorbance
400
248nm
-
+
Copyright © 2000 IC Knowledge LLC, all rights reserved 21
(industry experience to-date is that the defect density increase exponentially as the photoresist
thickness is reduced [26] - see figure 5.30).
Figure 5.29: Absorbance of PHOST versus polyacrylate [27].
Figure 5.30: Defect density versus photoresist thickness [26].
5.2.7. Photoresist summary
Table 5.3 summaries the properties of the photoresist presented in the preceding section
175
0
0.1
0.2
0.3
0.4
0.5
0.6
0.7
p-hydroxystyrene
Polyacrylate
0.8
0.9
1.0
200 300
Wavelength (nm)
Absorbance
400
193nm
Photoresist thickness (nm)
10
1997 SIA roadmap
Kunz (1992) [31]
Early (1992) [28]
Muller (1992) [29]
Kuan (1988) [30]
0.001
0.01
0.1
1.0
10
100
Defectdensity/cm
2
1,000
100 1,000
22 Copyright © 2000 IC Knowledge LLC, all rights
5.2.8. Photoresist critical parameters
There are a number of critical parameters that may be used to define the performance of a
photoresist.
5.2.8.1. Dose to clear (E0)
If film thickness versus the natural log of exposure dose is plotted for positive photoresist
following develop, the dose to clear is the exposure dose where the film thickness remaining
reaches zero - see figure 5.31.
Table 5.3: Photoresist summary.
Characteristic
bis-arylazide -
polyisoprene
DQN tBoc
Type Negative Positive Negative or
Positive
Exposure wavelength (nm) 350-450 365, 436 248
Usable resolution (nm) ~2,000 ~250 50?
Cost ($/gal) ~$100 $300-$800 ~$2,000
Special sensitivities Oxygen during
exposure
None Amines between
exposure and
PEB
Humidly comments High humidity
causes loss of
adhesion - 30 -
40% RH ideal
Humidity required
during develop - 35 -
45% RH ideal
Adhesion Excellent Good Good
Plasma etch and implant
compatibility
Excellent Good Good to fair
Developing reaction Solvent develop
swells polymer
Aqueous develop
dissolves resist
without swelling
Aqueous or sol-
vent develop dis-
solves resist
without swelling.
Wet strip Sulfonic acid strip-
pers that form acid
with water and are
hard to dispose of
NMP or aqueous
based stripper with
reduced moisture
sensitivity and eas-
ier disposal.
Copyright © 2000 IC Knowledge LLC, all rights reserved 23
Figure 5.31: Dose to clear.
Dose to clear is a measure of the sensitivity of the photoresist. The lower the dose to clear
the more sensitive the photoresist is for a given thickness and exposing wavelength. More sen-
sitive photoresist are advantageous for high productivity of exposure equipment - high sensitiv-
ity photoresist expose more quickly for a given exposure source intensity. Dose to clear is
dependent on the thickness of photoresist being exposed due to interference effects (see section
5.6.9). A plot of dose to clear versus film thickness is called a swing curve - see figure 5.32.
Figure 5.32: Dose to clear swing curve.
0.0
0.2
E0
␥ =
dt/t0
⌬ln(dose)
t (dark erosion)l
0.4
Normalizedfilmthickness(t/t)0
Ln exposure dose (mJ/cm )
2
0.6
0.8
1.0
0.90 1.00 1.10 1.20 1.30
Photoresist Thickness ( m)␮
DosetoClearE(mJ/cm)o
2
100
120
140
160
180
200
24 Copyright © 2000 IC Knowledge LLC, all rights
5.2.8.2. Gamma (␥)
Gamma is the slope of the thickness remaining curve between the unexposed region of the
photoresist and E0 - see figure 5.31. Gamma is a measure of contrast, i.e., the difference in
exposure required between the exposed and unexposed regions to produce an image. Higher ␥
results in sharper images.
5.2.8.3. Thickness loss (tl)
Thickness loss is the change in photoresist thickness following develop in the unexposed
regions of the photoresist - see figure 5.31.
5.2.8.4. Glass transition temperature (Tg)
§ Glass transition temperature (Tg) - the point at which an amorphous polymer undergoes a
transition from a brittle glassy state to a flexible rubbery state. Below Tg the polymer is glassy
and brittle, and above Tg the polymer is flexible and rubbery. Higher Tg materials show
increased resistance to flow and pattern distortion during bakes, but have poorer mechanical
performance. Crosslinking increases the stiffness of a polymer above Tg. Following developing
it is desirable to crosslink the remaining film to increase the resistance to flow and pattern dis-
tortion.
5.2.8.5. Viscosity
Viscosity is a measure of the resistance to flow of the photoresist. All other things being
equal, higher viscosities will result in thicker photoresist during coating (see the section on
coating). The viscosity of the photoresist must be properly selected for the desired film thick-
ness after coating. Alternately, solid content may be used to characterize the films resistance to
flow.
5.2.8.6. Dill parameters
For DNQ photoresist, Dill parameters describe the difference in photoresist absorption ver-
sus wavelength during exposure [32]. Dill A is the absorption of the resist prior to any bleach-
ing. Dill B is the residual absorption of the resist after bleaching. Dill C is the rate of absorption
change or bleaching.
5.3. Surface preparation
Photoresist adhesion to typical wafer surfaces such as SiO2 and metals is critical to faith-
fully replicating the photoresist pattern in etching and ion implantation processes. SiO2 and
metals are considered high-energy surfaces with surface free energies >103dynes/cm, photore-
sists are solutions with low surface energy, <36 dynes/cm for typical photoresists. Photoresists
may therefore be expected to spontaneously wet SiO2 and metal surfaces which might also be
expected to result in good adhesion. There are however several factors which can interfere with
photoresist adhesion:
1. If the base solvent has a higher degree of interaction with the wafer surface than the photo-
resist base resin, the solvent can push the resin away from the wafer surface. Later during
Copyright © 2000 IC Knowledge LLC, all rights reserved 25
soft bake the solvent may evaporate, but the resin may be constrained by the photoresist
film from filling the resulting gaps. This issue is addressed by good photoresist formula-
tions.
2. The resin-resin interaction can be stronger than the resin-substrate interface interaction, par-
ticularly if the base solvent is badly matched to the resin. The resin will then form tight
coils to minimize it’s energy, lowering it’s interaction with the substrate (␦ of the solvent
must be close to ␦ of the resin). The intrinsic interaction of the resin with the wafer surface
is however the most important factor in adhesion and once again this factor is addressed
during photoresist formulation.
3. Surface contamination - particularly moisture. Water has a very high affinity for SiO2 - see
table 5.4. If we consider photoresist to have an interaction with SiO2, on the order of the
solvents listed in table 5.4, it can be seen that moisture has a much stronger interaction.
Moisture interaction with SiO2 is a particular problem because SiO2 readily becomes
hydrated from humidity.
Surface moisture may take the form of adsorbed surface moisture or chemically bonded
OH - Silanol groups. Surface moisture may be reduced by a bake at >100oC, but silanol groups
require a much higher temperature bake - too high to be practical immediately before photore-
sist coating.
In order to minimize moisture effects on photoresist adhesion, a variety of surface pre-
treatment techniques are used. The most common is the application of Hexamethyldisilazane
(HMDS) - see figure 5.33.
The hydrated wafer surface - figure 5.33a, reacts with HMDS to remove adsorbed moisture
and the reaction releases NH3 - figure 5.33b. The wafer surface is now terminated with silanol
which further reacts with HMDS - figure 5.33c to release more NH3 leaving a low surface ten-
sion - low moisture surface. The critical surface tension of SiO2 at 50% RH is 78 dynes/cm
prior to treatment by HMDS, and 21 dynes/cm after treatment, the absorbed surface moisture
prior to treatment is >35 H2O molecules/␮m2 and after treatment is < 1 molecule of H2O/␮m2
[15]. Furthermore, the treated surface has good photoresist adhesion and forms an interface that
is water repellent.
Table 5.4: Polar interaction of SiO2 with selected liquids.
Liquid
Polar
Interaction
(dynes/cm)
Heptane 0
Benzene 20
Acetone 58
n Propanol 84
Water 368
26 Copyright © 2000 IC Knowledge LLC, all rights
Figure 5.33: HMDS adhesion promotion [15].
When negative photoresist was still widely used it was not unusual to see HMDS spun onto
a wafer surface in a dilute HMDS - xylene mixture just prior to photoresist coating. The HMDS
would be dispensed, allowed to briefly react with the wafer surface and then spun off. Spinning
on HMDS was only partially effective for adhesion promotion, consumed a great deal of
HMDS -xylene solution and produced a great deal of HMDS - xylene waste. By the time posi-
tive photoresist was becoming popular, HMDS dispense largely switched over to a vacuum
bake environment - see figure 5.34.
HMDS vapor prime systems may be batch systems or single wafer systems as illustrated in
figure 5.34. The operation of the single wafer system is as follows:
1. The wafer input port is a door into the chamber that opens to allow a wafer to be inserted
onto the wafer chuck. Once the wafer is inserted the port is closed.
2. The vacuum pump and valve allow the chamber to be pumped down to a vacuum in the 2 -
20 torr range.
3. A heated wafer chuck is used to heat the wafer to 100 to 150oC.
4. While the chamber is under vacuum the valve to the HMDS reservoir is opened and the
vacuum draws off HMDS vapor priming the wafer.
SiSi
Si Si
SiSi
Si Si
SiSi
Si Si
SiSi
Si Si
OO
O O
OO
O O
OO
O O
OO
O O
OO
O O
OO
O O
H O2 H O2 H O2
a) HMDS + hydrated SiO surface2
c) HMDS + surface OH (silanol) d) Lower surface tension - lower H O surface2
b) Adsorbed moisture removal
(CH ) SiNSi(CH )3 3 3 3
(CH ) SiNSi(CH )3 3 3 3 NH3
OSi(CH )3 3 OSi(CH )3 3 OSi(CH )3 3
OHOH
OH
OHOH
OH
OHOH
OH
(CH ) SiOSi(CH )3 3 3 3
+ NH3
Copyright © 2000 IC Knowledge LLC, all rights reserved 27
5. Following prime the chamber is pumped back down to remove the residual HMDS and
flushed with nitrogen.
6. The wafer output port opens and the wafer is withdrawn.
Proper vapor priming results in a contact angle of >60o (see chapter 3 for a discussion of
contact angle).
Vacuum bake ovens produce essentially no HMDS waste and consume only a miniscule
quantity of HMDS.
Figure 5.34: HMDS Vapor prime system.
5.4. Coating
Although there are several methods by which photoresist can be coated onto a wafer, for IC
fabrication the use of spin coating is virtually universal. In the spin coating process a controlled
volume of photoresist is dispensed onto a wafer and then the wafer is spun at high speed to pro-
duce a uniform, partially dried photoresist film. The basic coating process is illustrated in fig-
ure 5.35.
Figure 5.35: Photoresist spin-coating process [33].
Wafer
input
port
Vacuum
pump
N feed2
Wafer
Heater
Wafer chuck
Wafer
output
port
ValveValve
Valve HMDS
Reservoir
a) Dispense a
controlled
amount of
photoresist
b) Allow the
Photoresist to
spread across
the wafer
c) Rapidly ramp - up
the coater spin speed
throwing off excess
Photoresist
d) Spin at high
speed to form
a thin dry film
of Photoresist
28 Copyright © 2000 IC Knowledge LLC, all rights
The photoresist coating process begins by dispensing a controlled volume of photoresist
onto the center of the wafer - figure 5.35a. The initial dispense may be done with the wafer
static or rotating at a few hundred RPMs. The volume of photoresist dispensed depends on the
wafer size but is typically 2 to 10ml. The photoresist is allowed to spread through either a static
step or with the wafer rotating at a few hundred RPMs - figure 5.35b. The wafer is them rapidly
ramped up to a high spin speed, typically 1,000 to 10,000 RPMs - figure 5.35c. As the wafer is
rapidly spun a wave forms in the photoresist within a few RPMs, the wave them forms a
“crown-like” structure after approximately 30 RPMs [34]. The crown-like stage indicates the
bulk of the photoresist supporting the wave has run-out. Following the formation of the crown-
like phase the remaining photoresist is being “scrubbed off” of the wafer generally in the form
of a spiral [34]. During the spiral phase thousands of tiny photoresist droplets are propelled off
of the edge of the wafer. The spin coater bowl must be properly designed or the droplets of
photoresist can splash back onto the wafer causing a non-uniform coat. Finally the photoresist
is spun further to dry the photoresist by solvent evaporation. The evaporation rate of solvents
during coating is dependent on the photoresist formulation and also on the air flow over the
wafer during coating. Coater exhaust must be carefully tuned and controlled to achieve uniform
and repeatable photoresist films.
The mathematics of photoresist coating must take into account the multi-component nature
of photoresist. The solvents used to keep the photoresist in suspension have relatively high
evaporation rate - see table 5.5 During coating the solvent fraction of the photoresist is decreas-
ing and therefore the viscosity of the photoresist is increasing.
a
evaporation rates are relative to butyl acetate.
Photoresist coating models of varying complexity have been proposed by several research-
ers. Sukanek [35],[36] has developed a model of photoresist spin coating taking into account
the changes in photoresist properties during coating.
The photoresist is assumed to consist of two components, a non volatile and a volatile com-
ponent.
Mass balances on a cylindrical control volume on a wafer disc give
Table 5.5: Evaporation rate and solvents for photoresist [39].
Photoresist Solvent
Evaporation
ratea
Boiling point
(o
C)
Polybutene SO2 Methyl 2-ethoxyethyl acetate 31 145
DQN Cellosolve acetate
Butyl acetate
Diglyme
20
100
18
156
126
162
PMMA Chlorobenzene 102 126
Rubber azide Xylene 62 140
Copyright © 2000 IC Knowledge LLC, all rights reserved 29
(5.12)
(5.13)
where, CV is the concentration of the volatile component and CN is the concentration of the
non-volatile component, r is the radius, e is the evaporation rate of the volatile component, h is
the film height and q is the flow rate.
The flow rate is given by
(5.14)
where, ⍀ is the spin speed and ␯ is the viscosity.
The evaporation rate is given by
(5.15)
where k is the mass transfer coefficient, CVS is the concentration of the volatile component at
the surface.
If we assume that
(5.16)
then
(5.17)
and if we introduce the distribution or equilibrium constant K, then
(5.18)
For laminar air, i.e., the reynolds number is <2 x 105
(5.19)
where a is a constant.
Then combing 5.12, 5.13, 5.14, 5.18 and 5.19 and rearranging give the dimensionless
forms
(5.20)
and
(5.21)
t∂
∂
CNh( )
1
r
---
r∂
∂
rCNq( )–=
t∂
∂
CVh( )
1
r
---
r∂
∂
rCVq( )– e–=
q rΩ
2
h
3
3ν( )⁄=
e k(CVS CV∞ )–=
CV∞ 0→
e kCVS=
e KkCVS=
k aΩ
1/2
=
y∂
τ∂
-----
2
3
--- y
3
ν ν
0
⁄
------------ αx––=
x∂
τ∂
----- αx x 1–( ) y⁄=
30 Copyright © 2000 IC Knowledge LLC, all rights
and the dimensionless parameters are defined as
(5.22)
(5.23)
(5.24)
(5.25)
The kinematic viscosity is of the form used by Meyerhofer [37]
(5.26)
For sufficiently large values of t, the preceding equations provide a solution which is inde-
pendent of time. From equation 5.22 the final film thickness is given by
(5.27)
From equation 5.27 it can be seen that the film thickness is inversely proportional to the
spin speed.
Studies of spin coated films have shown that film thickness is [38]:
• Independent of coating volume.
• Independent of spin sped during dispense.
• Independent of acceleration rate from dispense speed to final spin speed.
• Depends on final spin speed - as expected from equation 5.27 - see figure 5.36.
• Depends on viscosity - as expected from equation 5.27 - see figure 5.36.
• Depends on time up until 5 - 10 seconds when the final film thickness value saturates - see
figure 5.37.
Practically speaking consistent coating thickness requires very careful control of the envi-
ronment that the photoresist sees during dispense and spinning. For sub-micron linewidth pro-
duction the photoresist temperature should be controlled at the photoresist storage chamber and
right up to the photoresist dispensing nozzle. The temperature and humidity of air over the
coater bowel should be controlled to + or - 1oF and + or - 1% respectively. As previously noted
the exhaust must be well controlled to insure consistent solvent evaporation rates. The coater
spin motor RPMs are typically quartz crystal controlled and accurate to <1%.
As previously mentioned the volume of photoresist dispensed is 2 to 10mls. With the
advent of DUV photoresist with costs of approximately $2,000/gal versus $500 to $800/gal for
i-line or g-line photoresist, the minimization of dispense volume has taken on increasing impor-
tance. The technique of “pre-wetting” the wafer with the photoresist solvent to aide in photore-
sist spreading has become common. With pre-wetting 2 to 4ml dispense volumes may be used
to coat a 200mm wafer.
y hΩ
1/2
a
1/2
ν0
1/2
( )⁄≡
x CV e⁄≡
τ tΩa ν0
1/2
⁄≡
α ν0
1/4
K a
1/2
⁄≡
ν ν0⁄ νS 1 νS–( )
1 x–
1 x0–
--------------
⎝ ⎠
⎛ ⎞n
+=
hf yfa
1/2
ν0
1/4
Ω
1/2
⁄=
Copyright © 2000 IC Knowledge LLC, all rights reserved 31
Figure 5.36: Film thickness versus final spin speed and viscosity [40].
Figure 5.37: Film thickness versus time [40].
0.5
1 2
Final spin speed (rpm x 10 )
3
Finalfilmthickness(m)␮
3 4 5 6 7
0.6
0.7
0.8
0.9
1.0
2.0
3.0
4.0
5.0
6.0
23cP
43cP
1100cP
2040cP
1.4
1.3
1.2
1.1
Filmthickness(m)␮
Spin time (sec)
1.0
0.9
0.8
0.7
0.6
0 2 4 6 8 10
Final spin speed = 3,000 RPM
Final spin speed = 6,000 RPM
12 14 16 18 20
32 Copyright © 2000 IC Knowledge LLC, all rights
5.4.0.1. Edge bead removal
When a wafer is spin coated, surface tension causes the thickness of photoresist dispensed
to build up at the wafer edge - see figure 5.38.
Figure 5.38: Photoresist thickness versus distance from the wafer edge [41].
The thicker photoresist at the wafer edge may not be fully exposed during exposure and
therefore will not develop completely. During subsequent processing the “edge bead” can flake
off creating defects. In order to prevent defects the edge bead is typically removed. there are
two common methods for edge bead removal:
1. During coating a solvent may be dispensed onto the outer-edge of the wafer dissolving the
edge-bead. This is a common method for greater than approximately 0.5␮m linewidths.
2. Below 0.5␮m linewidths optical edge bead removal is employed to allow improved control
over how far in from the edge the photoresist is removed (the loss of photoresist at the edge
eliminates the ability to produce ICs near the edge). In optical edge bead removal the wafer
is exposed to an intense light source that is masked to only expose the wafer edge. The
edge bead is fully exposed and develops away during the normal develop process.
Irrespective of edge bead removal technique, the wafer backside is typically washed with a
solvent to remove any photoresist that may accumulate on the backside during coating.
5.5. Soft bake
Following coating photoresist solvent levels have been reduced from 65-85% to 10-20%.
The photoresist still contains too much solvent for a sufficient difference to exist in developing
rates between the exposed and unexposed portion of the photoresist. In order to reduce the
residual solvent level in the photoresist, a soft bake step is employed. Soft baking has addi-
tional benefits:
• Reduces photoresist free volume reducing subsequent diffusion lengths for DUV resist
improving resolution.
• Anneals out residual stresses in the as-coated photoresist and improves adhesion.
0
0
0.5
1.0
1.5
2.0
2.5
Photoresistthickness(m)␮
3.0
50 100 150
Distance from the edge ( m)␮
200 250
Copyright © 2000 IC Knowledge LLC, all rights reserved 33
• Stabilizes the film and reduces the tackiness of the surface.
• Reduces residual solvent levels improving the difference in developing rates between the
exposed and unexposed portions of the photoresist.
Figure 5.39 illustrates the effect of soft baking on developing rates of DQN photoresist in
0.25N KOH developer after a 30 minute soft bake.
Figure 5.39: Photoresist developing rate versus soft bake temperature [43].
Ideally soft bake would be performed above the Tg of the photoresist to minimize photore-
sist free volume and removal of the residual solvent. Figure 5.40 illustrates the effect of
increasing bake temperature on a photoresist. As the temperature is increased the photoresist
first loses weight by solvent evaporation, eventually at a high enough temperature the photore-
sist begins to break down.
Figure 5.40: Thermal gravimetric analysis of un-baked spun on photoresist [42].
0
40 60
Highresidualsolvent
Solvent
removal
DQN
converted
to ICA
Compaction,
crosslinking,
DQN
decomposition
80
Novolak resin
DNQ resist
100 120 140 160
1
2
3
4
5
6
logR
Bake temperature ( C)
o
20
40
60
80
Solvent
loss
Start
decomposition100
%originalsampleweight
Temperature
34 Copyright © 2000 IC Knowledge LLC, all rights
Ideally a soft bake would achieve a photoresist with only a few% of solvent, however this
requires that the solvent boiling point be approached which is approximately 140o
C. For DQN
photoresist decomposes at approximately 100 - 120oC. Practically speaking the maximum soft
bake temperature of a photoresist is typically set by the temperature at which the sensitizer
begins to break down and baking below Tg is required. Table 5.6 presents the Tg and Td (tem-
perature of decomposition) for several common photoresist components.
The Tg of a photoresist may vary from the polymer due to the photoresist free volume. Also
for DUV photoresist PBOCST has a lower Tg than PHOST. For DUV photoresists soft bake
control is critical to control acid diffusion. The DUV softbake must be tailored to the properties
of the photoresist and the temperature must be controlled to a fraction of a o
C whereas 1o
C con-
trol is adequate for DQN photoresist.
Similar to the situation for coating, the mathematics of soft baking require consideration of
a volatile and a non-volatile component. Consider a film of initial thickness Lfi and with a sol-
vent free thickness of Lp. As soft baking proceeds the film gets thinner as the solvent diffuses
to the photoresist-gas interface and then evaporates.
A mathematical model of soft baking has been proposed by Tseng, et.al., [44].
The variation in photoresist density during baking is given by
(5.28)
where, xA is the mass fraction of the solvent in the film, t is time, z is distance from the bottom
of the film, D is diffusivity of the solvent and T is the absolute temperature.
The initial conditions for equation 5.28 are
(5.29)
where, xA0 is the initial mass fraction of solvent in the film.
The boundary conditions are
(5.30)
Table 5.6: Tg and Td of common photoresist components [39].
Component Tg (oC) Td (oC)
Rubber 54 200
Azide 100
Novolak 70-120 150-300
Diazoquinone 100
PMMA 105 200
Polybutene SO2 65 125
xA∂
t∂
--------
z∂
∂
D xA T,( )
xA∂
z∂
--------
⎝ ⎠
⎛ ⎞=
x xA0, t 0= =
xA∂
z∂
-------- 0, z 0= =
Copyright © 2000 IC Knowledge LLC, all rights reserved 35
(5.31)
where, km is the mass transfer coefficient of the solvent. Equation 5.30 indicates that the base
material under the photoresist is impermeable to the solvent.
A mass balance on the solvent near the photoresist-gas interface yields [46]
(5.32)
where, W is the mass, V is the volume, and ␳0 is the initial density of the film and ␳S is the den-
sity of the solvent. As the soft bake proceeds the film becomes more dense and the diffusivity
of the solvent is reduced.
From Fujita, et.al., the diffusivity of the solvent is given by [47]
(5.33)
where, ␣ and ␤ are constants that need to be determined experimentally.
The value of D is illustrated in figure 5.41 for D0 = 2.74 x 10-12
cm2
/s, ␣ = 0.040, and ␤ =
0.043 [45].
Figure 5.41: Solvent diffusivity versus solvent content [45].
Substituting equation 5.33 into equation 5.28 and rewriting equations 5.29 through 5.32 in
scaled form, results in
(5.34)
D
xA∂
z∂
--------– kmxA, z Lf t( )= =
dW
dt
--------– ρ– SA
dLf t( )
dt
--------------- ρ– 0DA
xA∂
z∂
--------
z Lf t( )=
= =
D D0 T( )
xA
α βxA+
-------------------exp=
0.0
10-12
10-11
10-10
10-9
10-8
10-7
10-6
0.2 0.4 0.6 0.8 1.0
Mass fraction of solvent
Diffusivity(cm/sec)
2
xA∂
τ∂
--------
xA
α βxA+
------------------- α
α βxA+( )
2
--------------------------
xA∂
z∗∂
--------
⎝ ⎠
⎛ ⎞
2 2
xA∂
z∗2
∂
------------+exp=
36 Copyright © 2000 IC Knowledge LLC, all rights
(5.35)
(5.36)
(5.37)
(5.38)
where, ␶ = D0t/L2
fi, z* = z/Lfi, and L*f = Lf/Lfi.
The preceding moving boundary problem has been solved numerically and the result for a
DQN photoresist is illustrated in figure 5.42 [44].
Figure 5.42: Film thickness loss during softbake - m-p cresol novolak photoresist [44].
Photoresist soft baking may be accomplished in a convection oven or more commonly
using a hot plate mounted onto the coating track equipment. Immediately following coat the
wafer is transferred to a hot plat for a 45 - 60 second bake. Ovens hold larger batches of wafer
but require ~30 minutes to complete a bake.
5.6. Optical principles
The dominant method for exposing patterns into photoresist currently in use, is optical
exposure. In order to understand optical exposure and optical exposure systems, it is necessary
to have a basic understanding of some optical principles.
xA xA0, τ 0= =
xA∂
z∗∂
-------- 0, z∗ 0= =
xA
α βxA+
-------------------
xA∂
z∗∂
--------exp–
km
D0 Lfi⁄
-----------------xA, z∗ L∗f τ( )= =
Lf τ( )d
dτ
---------------
ρ0
ρS
-----
xA
α βxA+
-------------------
xA∂
z∗∂
--------
z∗ L∗f=
, z∗exp L∗f τ( )= =
2.0
0 10 20
70 C
o
90 C
o
100 C
o
30 40 50 60 70
2.1
2.2
2.3
2.4
2.5
2.6
Photoresistthickness(m)␮
Soft bake time (sec)
Copyright © 2000 IC Knowledge LLC, all rights reserved 37
5.6.1. Huygens’ principle
Consider the wavefront A - B moving through space in figure 5.43. According to Huygens’
principle, the next successive wavefront - C - D may be constructed by considering every point
on wavefront A - B to be a secondary point source of waves. After travelling out from the sec-
ondary point sources for a period of time, each secondary “wavelet” will be a spherical wave-
front centered around the secondary point sources. The surface made up of the tangent of all of
the secondary wavelets will be the new wavefront - C - D.
Figure 5.43: Huygens’ principle.
5.6.2. Reflection
If a ray of light is incident on a reflective surface, the light ray will be reflected off the sur-
face at some angle.
• Law of reflection - the angle of incidence is equal to the angle of reflection.
Figure 5.44a illustrates the law of reflection and figure 5.44b illustrates the reflection of a
plane wavefront.
Figure 5.44: Law of reflection.
If a curved reflecting surface is constructed, a reflective lens results. Reflective lens are
referred to as Catoptric. The characteristics of a mirror lens are given by the mirror equation
(5.39)
A
B
C
D
A
a) Law of reflection b) Plane wavefront reflection
B
C
D
␣1
␣1 2= ␣
⍜1
⍜1 2= ⍜
⍜2
␣2
1
p
--- 1
q
---+ 2
R
---=
38 Copyright © 2000 IC Knowledge LLC, all rights
where, p is the object distance, q is the image distance and R is the radius of the mirror - see fig-
ure 5.45a.
Alternately the lens equation may be expressed as
(5.40)
where, f is the focal length of the lens - see figure 5.45b.
Figure 5.45: Reflective lens calculations.
5.6.3. Focal length
The focal length is defined as:
• Focal length - the distance from a lens where an incident set of parallel rays exiting the lens
converge.
5.6.4. Refraction
Optically transparent mediums have an intrinsic property - index of refraction defined as:
• Index of refraction - the ratio between the speed of light in a vacuum and the speed of light
in a given medium.
Refractive index depends on the material of interest, temperature, pressure and also on the
wavelength of radiation passing through the material. The change of refractive index of a mate-
rial versus wavelength is referred to as dispersion. Figure 5.46 illustrates the index of refraction
versus wavelength for two materials used in lens systems for photolithography exposure tools.
Fused silica has been widely used for many years and calcium fluoride (CaF2) is a material
which is beginning to see use in the latest generation tools.
When a light ray transits from a material of one index of refraction to a material of another
index of refraction, the light ray is bent, i.e. refracted. The degree of bending which results may
be calculated by Snell’s law
(5.41)
1
p
---
1
q
---+
1
f
---=
Object
a) Mirror calculations b) Focal length
p
q f
Image
n1 Θ1sin n2 Θ2sin=
Copyright © 2000 IC Knowledge LLC, all rights reserved 39
where, n1 is the index of refraction of the first medium, n2 is the index of refraction of the sec-
ond medium, ⍜1 is the angle of the ray entering the interface and ⍜2 is the angle of the ray
leaving the interface - see figure 5.47.
Figure 5.46: Index of refraction for fused silica and calcium fluoride
versus wavelength [11],[12].
Figure 5.47: Refraction.
It should be noted here that since the change in angle for a light ray transitioning between
two media depends on the index of refraction of each media respectively, the change in angle is
wavelength dependent. The practical effect of this will be discussed in the section on lens aber-
ations.
For a refractive lens, the characteristics of the lens are given by the lens makers formula
1.42
100
Wavelength (nm)
Indexofrefraction
1,000
1.44
1.46
1.48
1.50
1.52
CaF2[12]
SiO2 [11]
1.54
1.56
1.58
n1
n2
⍜1
⍜2
40 Copyright © 2000 IC Knowledge LLC, all rights
(5.42)
where, n1 is the refractive index of the first medium, n2 is the refractive index of the lens mate-
rial, p is object distance, q is the image distance, R1 is the radius of the first lens surface and R2
is the radius of the second lens surface - see figure 5.48a.
Refractive lens are referred to as Dioptic.
In figure 5.48b, the focal length for a refractive lens is illustrated and is given by
(5.43)
Figure 5.48: Refractive lens calculations.
Refractive lens can be fabricated in a variety of different forms, if both lens surfaces are
convex, the lens is a double-convex lens - figure 5.49a, if both surfaces are concave, the lens is
double-concave - figure 5.49b. Lens may also have one convex and one concave surface
referred to as a concave-convex lens or one convex surface with one plane surface, referred to
as a plano-convex.
Figure 5.49: Refractive lens.
n1
p
-----
n2
q
-----+ n2 n1–( )
1
R1
------
1
R2
------+
⎝ ⎠
⎛ ⎞=
f n1 n2–( ) 1
R1
------ 1
R2
------+
⎝ ⎠
⎛ ⎞ -1
=
Object
p q
Image
f
a) Refractive lens calculations b) Focal length
a) Double convex b) Double concave
Copyright © 2000 IC Knowledge LLC, all rights reserved 41
In figure 5.49a the double-convex lens is shown converging the light rays and in figure
5.49b the double concave lens is shown diverging the light rays. As a rule, lens that are thicker
in the center of the lens than at the edges are convergent and lens that are thinner in the center
than at the edges are divergent.
5.6.5. Magnification
Magnifications for lens systems can be defined in terms of the object and the image as:
• Magnification - the image size divided by the object size.
5.6.6. Numerical aperture
Numerical aperture is a measure of the “acceptance” angle of a lens and is defined as
(5.44)
where, ␣ is the acceptance angle - see figure 5.50, n is the index of refraction of the background
- typically 1, d is the lens diameter and f is the lens focal length.
Figure 5.50: Numerical aperture.
Assuming n equals 1 - such as for air, then the maximum NA is 1 since ␣ cannot exceed
90o
. For practical lens design, as d increases it is increasingly difficult to achieve high NA.
Microscope objectives a few mms in diameter may achieve NAs of 0.9 or even 0.95, but NAs
of 0.7 are high for modern exposure tools with field sizes of tens of mms. The limitations of
NA impacts the achievable resolution of an exposure system as will be seen in the section on
difraction.
5.6.7. Aberrations
There are six types of aberration that can occur in a lens system.
5.6.7.1. Chromatic aberration
In section 5.2.3 it was presented that the characteristics of a refractive lens depend on index
of refraction and that index of refraction depends on wavelength. If light with multiple wave-
lengths passes through a refractive lens, the light will be focused at different points depending
on wavelength - see figure 5.51a. For reflective lens systems the angle of incidence equals the
angle of reflection irrespective of wavelength and so chromatic aberration does not occur - see
figure 5.51b.
NA n αsin d 2f⁄= =
␣ d
f
42 Copyright © 2000 IC Knowledge LLC, all rights
Figure 5.51: Chromatic aberration.
Refractive lens system must be restricted to a single narrow wavelength of light for good
focus or the lens system must be designed to correct for chromatic aberration. If a diverging
lens made with a higher dispersion material is combined with a converging lens made with a
lower dispersion material, chromatic correction may be performed - figure 5.52.
Figure 5.52: Chromatic aberration correction.
In figure 5.52 a converging and a diverging lens are used together to provide correction for
chromatic aberration. In order to provide chromatic aberration correction, the diverging lens
element must have higher dispersion than the converging lens element. When typical exposure
wavelengths were >300nms, chromatic aberration correction was available, however, at wave-
lengths <300nm no suitable high dispersion lens material exists. The fact that reflective lens do
not suffer from chromatic aberration is a major advantage in optical system design particularly
as the exposing radiation wavelength is reduced.
5.6.7.2. Spherical aberration
If a lens is ground with a spherical surface, parallel light rays passing through the outer
edge of the lens will focus to a point closer to the lens than parallel light rays passing through
areas of the lens closer to the center - see figure 5.53a. If the lens is ground with a parabolic sur-
face, parallel light rays will focus to the same point irrespective of where they pass through the
lens - see figure 5.53b.
Longer
wavelength
Longer
wavelength
Shorter
wavelength
Shorter
wavelength
a) Refractive lens a) Reflective lens
Long wavelength
Short wavelength
Copyright © 2000 IC Knowledge LLC, all rights reserved 43
Figure 5.53: Spherical aberration.
5.6.7.3. Astigmatism
Light rays transiting a lens at an angle to the optical axis of the lens focus to a point closer
to the lens than rays that pass through the lens parallel to the optical axis - figure 5.54a. Astig-
matism only occurs for off-axis light rays. As an object moves in and out of focus, the resulting
image is first stretched in one direction for a positive out-of-focus condition and then stretched
out in a direction at a 90o angle to the original stretching mode for a negative out-of-focus con-
dition - figure 5.54b
Figure 5.54: Astigmatism.
5.6.7.4. Coma
An aberration of image points that lie off of the optical axis. - figure 5.55a. Comatic flair
results in a diffuse tail from an image point which may point towards or away from the optical
axis - figure 5.55b. Coma becomes worse as field size and aperture increase.
5.6.7.5. Curvature of field
Ideally an image should be in focus for a flat plane. Curvature of field is when the focal
plane forms a curved surface - figure 5.56.
a) Spherical lens b) Parabolic lens
Parallel
rays
Parallel
focus
Oblique rays
Oblique
focus
0 focus
+ focus
- focus
a) Optical path
b) Image
44 Copyright © 2000 IC Knowledge LLC, all rights
Figure 5.55: Coma.
Figure 5.56: Curvature of field.
5.6.7.6. Distortion
The transverse counterpart to curvature of field. The radial distortion of points towards or
away from the optical axis - figure 5.57. Distortion results from a change in magnification
Figure 5.57: Distortion.
5.6.8. Coherence
Coherency is “the state or quality of being together” [17]. When applied to light coherency
may be broken down into two categories, temporal and spatial:
Periphery
a) Optical path
b) Image
Center
Curved
focus
area
a) Undistorted b) Positive b) Negative
Copyright © 2000 IC Knowledge LLC, all rights reserved 45
• The temporal coherence of a light source may be characterized by the coherence length
which relates the light source wavelength and bandwidth, and is given by
(5.45)
• Spatial coherence refers to the phase relationship between the photons or wave fronts. A
point source produces wavefronts that spread out from a single point and our perfectly spa-
tially coherent, larger light sources result in less spatial coherency.
Temporal coherency can be controlled by wavelength selection and spatial coherency can
be controlled by the ratio of the illumination system condenser lens NA to the objective lens
NA - see figure 5.58 and is given by
(5.46)
where, ␴ = 1.0 is incoherent and ␴ = 0 is coherent.
Figure 5.58: Kohler illumination and factors for determining spatial coherence [18].
• Kohler illumination - a light source that is focused in the entrance pupil of the image form-
ing optics is called Kohler illumination.
5.6.9. Interference
If two light waves are coherent and the electric fields oscillate in the same direction, than
the light waves will interfere with each other. Light waves that meet the aforementioned criteria
and are in-phase will interfere constructively and the amplitude of the two waves will add to
each other - figure 5.59a. If the two waves are 180o out of phase with each other the two waves
will interfere destructively - figure 5.59b. Figure 5.59b illustrates the specific case where the
two waves have the same initial amplitude and they cancel each other out.
If a single point source shines light onto a pair of slits, from Huygen’s principle the two
slits may be considered as point sources for new light waves. The two sources will be coherent
and the resulting waves will interfere with each other - figure 5.60a. If the light from the two
slits is projected onto a screen the resulting light pattern will alternate between light and dark
areas due to destructive and constructive interference - figure 5.60b
lC λ
2
Δλ⁄=
σ
NAC
NAO
-----------
dS′
dO
------= =
dS
NAC NAO
dS'
dO
Source
Mask Wafer
Condenser Objective
46 Copyright © 2000 IC Knowledge LLC, all rights
Figure 5.59: Interference.
Figure 5.60: Double slit interference [67].
a) Constructive interference b) Destructive interference
a) Double slit interference
d
D
⍜
⌬r
y
I
m = 0 zero order
m = +1 first order
m = -1 first order
m = +2 second order
m = -2 second order
m = +3 third order
m = -3 third order
m = +4 fourth order
b) Double slit interference - intensity versus angle
m = -4 fourth order
Copyright © 2000 IC Knowledge LLC, all rights reserved 47
In figure 5.60, if D >> y and d, then
(5.47)
The phase difference due to the path length difference is
(5.48)
where, ␭ is the wavelength of the light.
The intensity of light measured on a screen relative to the intensity at axis is
(5.49)
If more than two slits are used, than the light peaks become narrower and the intensity
between the peaks is reduced - see figure 5.61. Also, as the number of slits increases the inten-
sity of the peaks increases as the square of the number of slits.
Figure 5.61: Multiple slit interference.
Interference can also result from the reflection of light off of thin film interfaces. When
light travels from a medium of relatively lower index of refraction to a medium of relatively
higher index of refraction, the light phase is shifted 180o. When light travels from a medium of
relatively higher index of refraction to a medium of relatively lower index of refraction, no
phase change occurs. In figure 5.62 a light ray is shown reflecting from the top and bottom sur-
face of a thin film. The light reflecting from both surface undergoes a 180o phase shift, so if the
Δr d Θsin=
φ 2π
Δr
λ
------
⎝ ⎠
⎛ ⎞ (in radians)=
I Iocos
2 φ
2
---=
16I0
9I0
a) 2 slits
b) 3 slits
c) 4 slits
4I0
48 Copyright © 2000 IC Knowledge LLC, all rights
thickness of the film is sufficient to cause an additional 180o phase shift, destructive interfer-
ence takes place.
This analysis assumes the underlying substrate has a higher index of refraction than the thin
film. The change in wavelength for the light in the thin film relative to air must also be
accounted for and is given by
(5.50)
where, ␭F is the wavelength in the film, ␭A is the wavelength in air and nF is the index of
refraction of the film.
Figure 5.62: Thin film interference.
Reflection from the thin film air and thin film substrate interface can also produce a varia-
tion in light intensity in the film due to a standing wave - see figure 5.63.
Figure 5.63: Standing wave.
Standing waves result when the underlying substrate is highly reflective and result in undu-
lations in the resulting exposure energy and hence the photoresist profile. Standing waves may
be suppressed by anti reflective coatings that will be discussed in a later section.
5.6.10. Diffraction
Interference such as was presented in the preceding section is generally used to describe
situations with a finite number of line or point sources such as multiple slits. Diffraction - a
λF
λA
nF
------=
Substrate
Thin film
Air
xF
Reflecting subtrate
Air
a) Standing wave b) Resulting profile
Photoresist
Copyright © 2000 IC Knowledge LLC, all rights reserved 49
form of interference is generally used to refer to the interference of waves from an area or infi-
nite number of point sources.
Consider the edge illustrated in figure 5.64, without diffraction there would be a sharp tran-
sition from a dark to light area. With diffraction, an undulating intensity of light decaying to a
constant level results.
Generally, diffraction results from some type of obstacle existing in the path of the light
rays. From Huygen’s principle, the area of light which is not blocked by the obstacle acts as an
infinite number of point sources and creates interference patterns.
Figure 5.64: Edge diffraction.
Diffraction may be Fresnel diffraction or Fraunhofer diffraction.
• Fresnel diffraction - the light source and projection plane are both near the light obstacle
and therefore the light rays may not be considered parallel.
• Fraunhofer diffraction - the light rays approaching and leaving the light obstacle are both
parallel.
Since diffraction essentially softens the image projected by a light blocking object, diffrac-
tion limits the ultimate achievable resolution of an optical system.
Consider figure 5.65, as the width of the slit b approaches the wavelength of the light ␭, the
difference in light intensity from the region under the slit opening to the region where the slit
blocks the light is reduced. At some point when the slit is narrow enough the contrast in light
intensity between the two areas is insufficient to form a usable image. The point at which the
image is no longer usable is the diffraction limited resolution and is given by Rayleigh’s crite-
ria
(5.51)
Intensity
Distance
Intensity with
diffraction
Incident light
"Ideal"
intensity
R
k1λ
NA
--------=
50 Copyright © 2000 IC Knowledge LLC, all rights
where, k1 is a process dependent factor
From equation 5.51 it can be seen that resolution may be improved by, reducing k1, reduc-
ing ␭, or increasing NA.
Figure 5.65: Single slit diffraction.
Figure 5.66 presents the trend in k1 over the last 18 years.
Figure 5.66: k1 trend [16].
Clearly there is a strong trend towards reducing k1, the question is, how low can k1 go. k1 is
the result of a number of parameters which will be discussed in detail later in the chapter.
At the same time that k1 has been continuously reduced, improved lens design has been
increasing NA. Figure 5.67 illustrates the trend in NA over the last 30 years.
b
b = 2␭
b = 5␭
Incident light
1982 1985
k1
Year
1990 1995 2000
0.3
0.4
0.5
0.6
436nm
365nm
248nm
193nm
0.7
0.8
0.9
Copyright © 2000 IC Knowledge LLC, all rights reserved 51
Figure 5.67: Numerical aperture trend.
Increasing NA, increases system resolution by increasing the lens acceptance angle and
capturing more diffraction orders (see diffraction orders in figure 5.60). In the case of NA, the
ultimate value is not in dispute, as was shown earlier NA is always<1.0. The question is the
practical limit. The best NA values available for a commercial system is 0.7, longer term per-
haps 0.8 will be achievable although difficulties in optical design at shorter wavelengths may
make even 0.7 difficult to achieve in next generation tools.
There are difficulties inherent in increasing NA and in decreasing k1. Each approach
requires a great deal of equipment design work or process development. At the same time that
NA has increased and k1 has decreased, ␭ has also been decreasing.
5.6.11. Exposing radiation
For many years exposure systems have utilized the output of mercury lamps as an illumi-
nation source. Early exposure systems utilized a broad area of the mercury lamp output for
exposure. As exposure systems transitioned to refractive - reduction lens systems, light filtering
limited the light output primarily to the so called G-line of 436nms. 436nm systems were used
until minimum linewidths shrunk below approximately 0.8␮ms. Around 0.6␮ms the I-line of
365nms took over until below 0.35␮ms. The output of mercury lamps below 365nms is limited
and so to continue shrinking wavelengths and enhance resolution, excimer laser sources have
been employed to produce 248nm and 193nm wavelength light. Early adopters began to use
248nm systems at 0.35␮ms and virtually all exposure systems for 250nm minimum linewidths
are 248nms wavelength exposure systems. 248nm systems have also been used for 180nm pro-
duction. Figure 5.68 summarizes a broad spectrum of available exposure sources and wave-
length.
1970 1975 1980 1985 1990
NA
Year
1995 2000
0.0
0.1
0.2
0.3
0.4
0.5
Projection
Step & Repeat
Step & Scan
0.6
0.7
0.8
0.9
1.0
52 Copyright © 2000 IC Knowledge LLC, all rights
In the upper right of the figure, the mercury lamp source is presented with the dominant
output “lines”. The lower half of the figure presents a variety of laser wavelengths and the gas
used to generate the laser beam. The upper left side of figure 5.68 presents the effective wave-
length of some non optical exposure sources that have been proposed for post optical exposure
wavelengths. Each of these systems will be discussed in detail in the sections on exposure sys-
tems.
Figure 5.68: Exposure sources and wavelengths.
5.6.11.1. Excimer lasers
The mercury arc lamp has very little output in the DUV region. Commercial 248nm expo-
sure systems have switched over to excimer laser light sources due to the higher output at
wavelengths of interest.
The term excimer comes from the combination of excited and dimer. The excimer laser
was developed in the late seventies and has output in the ultraviolet and near ultraviolet region.
The efficiency is high with a quantum efficiency near one and overall efficiency of approxi-
mately 4% - quite good for a laser [49]. The efficiency and wavelength of excimer lasers makes
them attractive for lithography use.
In an excimer laser a noble gas such as Kr or Xe is excited in the presence of a halogen
such as F or Cl. A resonant cavity is filled with 2-3% noble gas, 0.1 - 0.3% halogen and the bal-
1,000100
E-line-546nm
G-line-436nm
H-line-405nm
I-line-365nm
100
200 300
Mid UV
400
1010.10.01
100K
0.0011nm
0.0039nm
0.0123nm
0.0388nm
0.1227nm
0.3881nm
200nm
600nm
Gallium ion wavelength at 10 volts accelerating potential
100KeV H and He ions used for ion projection ~0.0006nm2
10K 1K
Accelerating voltage (volts)
100 10
0.001
␥ - rays X - rays
Mercury lamp
EUV
13nm
E-beam
Ions
ArF
193nm
KrCl
222nm
XeCl
308nm
Ar
126nm
F
157nm
2
N
337nm
2
KrF
248nm
XeF
351nm
Ultraviolet
Visible
Infrared
Deep UV
Wavelength (nms)
Lasers
Near UV
Copyright © 2000 IC Knowledge LLC, all rights reserved 53
ance Ne or He [50]. A high voltage pulse - approximately 12,000 volts for 75 nanoseconds, dis-
sociates electrons from the noble gas molecules creating a plasma [51]. In the excited state,
noble gas atoms combine with the halogen atoms forming a metastable compound such as KrF
or ArF with a lifetime of several nanoseconds. When the electrons in the outer shell of the
excited compound are stimulated to a lower energy level, ultraviolet light is emitted and the
metastable compound disassociates in a few femtoseconds into the original elements.
The KrF reactions are [52]:
(5.52)
(5.53)
(5.54)
(5.55)
(5.56)
(5.57)
(5.58)
(5.59)
(5.60)
(5.61)
(5.62)
Excimer lasers with 2 - 20 watts of output are pulsed at 500 - 2,000hz or more with the high
energy pulse lasting 5-20ns. Following each pulse the cavity must be purged to remove long
life molecules and ions created during plasma generation. Purging requires 2 - 3 volume
changes. The gas is cooled and purified with a cryogenic purifier before being reused.
Some of the key laser parameters for lithography are:
• Output power - higher output power requires less exposure time to achieve a given expo-
sure dose and this translates into higher tool throughput.
• Wavelength stability and bandwidth - effects resolution, depth of focus, distortion and the
overall complexity of the optical system. For example, a wide bandwidth would require
more chromatic aberration correction in a refractive lens system. Excimer laser systems for
lithography employ prisms, gratings or etalons to narrow the laser bandwidth. For example,
a KrF excimer laser has a native bandwidth of 300pm [68], commercial lithography laser
have a bandwidth of <1pm.
Kr e
–
Kr
*
e
–
two step ionization - step 1+→+
Kr
*
e
–
Kr
+
2e two step innozation - step 2+→+
F2 e
-
F
–
F electron attachment+→+
Kr
*
F2 Ne KrF
*
F Ne KrF
*
formation through harpooning collisions+ +→+ +
Kr
+
F
–
Ne KrF
*
Ne KrF
*
formation through ion channels+→+ +
KrF
*
Kr F hν spontaneous emission+ +→
KrF
*
hν Kr F+→ 2hν stimulated emission+ +
KrF
*
F2 Kr F F2 KrF
*
quenching+ +→+
KrF
*
Kr 2Kr F KrF
*
quenching+→+
KrF
*
2Ne Kr F Ne KrF
*
quenching+ +→+
KrF
*
2Kr Kr2F
*
Kr tri-atomic excimer formation+→+
54 Copyright © 2000 IC Knowledge LLC, all rights
Note:FWHMisfullwidthhalfmaximum.
Typicalproductionsystemgaslifetimesareontheorderof100millionpulsesandtypicaltubelifetimesare5billionpulses.
Table5.7:Selectedexcimerlasersforlithography-July2000[53],[54],[55].
CompanyProductType
Wavelength
(nm)
Bandwidth
FWHM
(pm)
Repetition
rate
(Hz)
Power
(watts)
Pulse
energy
(mJ)
Energy
dose
stability/
#ofpulses
CymerEX-5000
ELS-5000
ELS-5010
ELS-6000
ELS-6010
ELX-6500F2
KrF
KrF
KrF
KrF
KrF
ArF
ArF
F2
248
248
248
248
248
193
193
157
<100
<0.8
0.6
р0.6
р0.5
0.5
0.5
0.3
1,000
1,000
1,000
2,000
2,500
2,000
4,000
15
10
10
20
20
10
20
15
10
10
10
8
5
5
5
рϮ0.8%/50
рϮ0.8%/50
Ϯ0.6%/40
рϮ0.5%/32
рϮ0.35%
Ϯ0.4%/40
Ϯ0.2%/80
KomatsuKLES-G10KKrF248<0.51,0001010Ϯ0.5%/40
LambdaPhysicK1010
K2010
K2020
A2010
A2020
F620
F630
F1020
F1030
KrF
KrF
KrF
ArF
ArF
F2
F2
F2
F2
248
248
248
193
193
157
157
157
157
<0.6
<0.6
25
<0.6
25
<1.5
<1.5
<1.5
notnarrowed
1,000
2,000
2,000
2,000
2,000
600
600
1,000
1,000
10
20
30
10
20
6
6
10
10
10
10
15
5
10
10
10
10
10
Ϯ0.5%/50
Ϯ0.5%/50
Ϯ0.5%/50
Ϯ0.8%/50
Ϯ0.5%/50
Ϯ1%/50
Ϯ1%/50
Ϯ0.5%/5
Ϯ0.5%/50
Copyright © 2000 IC Knowledge LLC, all rights reserved 55
Figure 5.69 illustrates a line-narrowing Etalon.
Figure 5.69: Line-narrowing Etalon [68]
• Pulse repetition rate - early excimer lasers had variations in pulse energy of 30%. In order
to provide consistent exposure energy a large number of pulses must be integrated. For
example, to achieve a 1% exposure energy control with a 30% pulse variation, 900 pulses
need to be integrated. Even in more advanced excimer lasers pulse integration is required.
High repetition rate is critical for high throughput. The higher the repetition rate the faster
the minimum number of pulse is achieved. For example, Hitachi has modeled a 300mm
step and scan exposure system and determined that for a 250mm/sec stage and a 30mj/cm2
photoresist, that a 30% improvement in throughput may be realized by increasing the pulse
rate from 1kHz to 2kHz.
Table 1 illustrates some selected commercial excimer lasers available for lithography use
as of July 2000 [53],[54],[55].
Currently:
• KrF lasers with 248nm output are widely used for 180nm minimum linewidths and early
130nm linewidth develop (KrF may also be used for 130nm linewidth production).
• ArF lasers with 193nm output are being widely evaluated in development programs for
<130nm linewidth production.
• The F2 laser with 157nm output is just beginning to be looked at for <100nm production. It
should be noted that while the F2 is commonly referred to as an excimer laser it is techni-
cally not an excimer.
• Ar lasers with 126nm output have also been discussed as a potential source for lithography
use. Once again the Ar laser is not technically an excimer.
Some drawbacks to excimer lasers include, large size of approximately 2' by 5', low electri-
cal efficiency, require a gas source - currently fluorine, the lasers generate r.f. noise and the
output is dangerous to human eyes.
5.6.12. Optical transparency
One issue in optical system design for shorter wavelengths is the optical transparency of
available lens materials. At 436nms and 365nms a variety of lens material were available, at
248nms the choices narrow and at 193nms only special low OH - F doped fused silica and cal-
cium fluoride (CaF) are suitable lens materials - see figure 5.70.
248
Aperature
248.4
248.5
248.3
248.2
56 Copyright © 2000 IC Knowledge LLC, all rights
Figure 5.70: Optical transmission of selected materials [12],[13],[14].
One open question in the industry currently is whether 193nm systems will be widely used
at 130nm minimum linewidths or whether the 193nm system introduction will be held off until
100nm minimum linewidths are required. Another question is how small a minimum feature
size 193nm system will support and when 157nm or alternative post optical exposure systems
will begin to see use. At 157nms - the next step in laser output, only CaF is suitable for lens
systems and if 126nm or 13nm (EUV) systems are fabricated, an all reflective optical system
will be required.
5.6.13. Coherency effects on resolution
In order to produce an image a lens must accept at least one order of diffraction.
For a coherent illumination source, ␴ = 0, normal plane waves are incident on the reticle
and diffraction angles occur on either side of the zero order, normal to the illumination - see
figure 5.71.
The position of the first order is given by
(5.63)
Since a lens numerical aperture is the sin of the half acceptance angle the minimum resolv-
able line becomes
(5.64)
For incoherent illumination, ␴ = 1, the plane waves are non-normal to the mask and only
one side of the first diffraction order is collected - see figure 5.72.
100
50
60
70
80
90
Transmission(%)
Wavelength (nm)
100
200
CaF2 [12]
LiF [14]
Fused Silica [13]
Fused Silica with low OH
and F doping [13]
300 400 500
Θsin
λ
d
--- NA= =
R 0.5d 0.5
λ
NA
--------= =
Copyright © 2000 IC Knowledge LLC, all rights reserved 57
Figure 5.71: Coherent illumination [18].
..
Figure 5.72: Incoherent illumination [18].
For incoherent illumination equation 5.52 becomes
(5.65)
and equation 5.53 becomes
(5.66)
So that incoherent illumination is capable of resolving features one half the size of the
smallest feature resolvable by coherent illumination, however, features resolved by incoherent
illumination are not as well defined.
0
Illumination
Mask
Objective
Wafer
+1-1
0
Illumination
Mask
Objective
Wafer
1
Θsin
λ
d
--- 2NA= =
R 0.5d 0.25λ
NA
--------------= =
58 Copyright © 2000 IC Knowledge LLC, all rights
5.6.14. Modulation transfer function
The preceding discussions on resolution provided resolution limits without taking into
account image quality. The modulation transfer function is a measure of the sharpness or con-
trast of a transferred image. The modulation transfer function (MTF) is defined by
(5.67)
and is illustrated in figure 5.73.
Figure 5.73: Modulation transfer function [19].
The effect of coherence on MTF is illustrated in figure 5.74.
In figure 5.74 it can be seen that coherent light with ␴ = 0 results in a higher modulation level,
i.e. a sharper image down to the point where the ␴ = 0 resolution limit of 0.5␭/NA is reached,
however at the resolution limit the modulation goes to zero. For incoherent light, ␴ = 1.0, the
modulation is lower than for coherent light above the coherent light resolution limit, i.e. the
image is less sharp. Below the coherent light resolution limit coherent light does not produce
modulation but incoherent light does until the incoherent light resolution limit of 0.25␭/NA is
reached.
M
IMAX IMIN–
IMAX IMIN+
-----------------------------=
b b b
0 1 2 3 4
Illumination
incident on a mask
Illumination
incident on Photoresist
Mask
Mask Pattern
Lens
Photoresist
Wafer
Ideal transfer
Actual transfer
IMAX
IMI N
Copyright © 2000 IC Knowledge LLC, all rights reserved 59
Figure 5.74: Effect of coherence on modulation [18].
As the coherence of light is varied from coherent to incoherent, various intermediate values
of partial coherence may be produced, 0 < ␴ < 1.0. The effect of partial coherent on modulation
can be calculated and is illustrated in figure 5.75 for a 365nm, 0.37NA exposure system.
Figure 5.75: MTF versus coherence for a 365nm, 0.37NA exposure system [20].
An important point to make here is that for each minimum linewidth there is an optimum
coherence value that maximizes modulation. Some exposure systems allow the option of
adjusting NA and coherence for process tuning.
Modulation
Line - space pairs/unit length
0
Coherent transfer
function = 0␴
Incoherent transfer
function = 1.0␴
1.0
0.5 /NA␭ 0.25 /NA␭
0.2
0.4
0.6
0.3␴
0.5␴
0.7␴
0.9␴
0.8
1.0
0
1.67 1.25 1.00 0.83 0.71 0.65 0.56
Line-space pair ( ms)␮
Modulation
60 Copyright © 2000 IC Knowledge LLC, all rights
5.6.15. Aerial image
The distribution of exposing radiation intensity at the wafer is referred to as the aerial
image. Much as the modulation depends on coherence, the aerial image also depends on the
coherence of the exposing radiation. Figure 5.76a illustrates aerial image versus coherence with
features > 0.5␭/NA showing an improved image with increasing coherency, and figure 5.76b,
illustrates features <0.5␭/NA showing an improved image with decreasing coherency
Figure 5.76: Effect of coherence on aerial image [18].
5.6.16. Depth of focus
Depth of focus is distance from the focal point that a wafer may be and still produce an
image that meets a specified criteria. Depth of focus is given by
(5.68)
where k2 is a process related factor.
Depth of focus has taken on an increasingly important role as shrinking linewidths, ␭ and
increasing NA have resulted in smaller and smaller DOF values. Wafers are not perfectly flat,
backside particles may prevent wafers from sitting perfectly flat on exposure system chucks
and wafers may also have surface typography large enough to put certain feature out-of-focus
for short wavelength - high NA systems. k2 depends on a number of factors but for a photore-
sist with reasonable contrast values may be on the order of 0.5 [18].
5.7. Optical exposure
Although photolithography experts have been predicting the end of optical lithography
since the mid eighties, optical lithography remains the methodology of choice for patterning IC
wafers. With strong resolution enhancement techniques and exposure wavelengths shrinking to
193 and eventually 157nms, it is the authors opinion that optical lithography will carry the
industry to the 50nm technology node predicted to occur in 2011 [2].
a) 0.6 /NA␭
Position
␴ = 0
␴ = 0.9
␴ = 0.9
␴ = 0
Intensity
Intensity Position
b) 0.4 /NA␭
DOF
k2λ
NA
2
----------±=
Copyright © 2000 IC Knowledge LLC, all rights reserved 61
5.7.1. Contact printing
The first commercially available exposure tool was the contact printer introduced in 1964.
A photomask containing a pattern for an entire wafer is brought into contact with the wafer to
be patterned, hence the name contact printer. A contact printer is illustrated in figure 5.77.
Figure 5.77: Contact printer [33].
The contact printer system illustrated in figure 5.77 consists of the following elements:
• A mercury arc lamp centered in a parabolic or ellipsoidal reflector to collect the lamp light
and direct the light into the aligner optics. The mercury lamp emits light from an area a few
mms across so the lamp acts like a point source.
• A cold mirror to redirect the light and provide wavelength filtration.
• A shutter to control the time the wafer is exposed to light.
• An optical integrator creates multiple light images that are then recombined to improve
uniformity.
• An aperture to block divergent light rays outside a controlled area.
• A turning mirror to turn the light towards the wafer.
• A condenser lens to create parallel light rays.
• The photomask.
• The photoresist coated wafer.
A vacuum chuck which may be moved in two horizontal directions and also moves up and
down a controlled distance to bring the mask and wafer in and out of contact. In order to expose
a wafer, a photomask is loaded and rotationally aligned by an operator looking through a
microscope. The rotational alignment insures the mask edges are parallel to the front of the
aligner. A wafer is then loaded onto the wafer chuck either manually or by an autoloader in
Mercury
lamp
Reflector
Cold
mirror
Condenser
lens
Turning
mirror
Photoresist
Photo mask Wafer
Wafer
chuck
Shutter
Optical
integrator
Aperture
(collimation
control)
Photolithography chapter by scotten w. jones
Photolithography chapter by scotten w. jones
Photolithography chapter by scotten w. jones
Photolithography chapter by scotten w. jones
Photolithography chapter by scotten w. jones
Photolithography chapter by scotten w. jones
Photolithography chapter by scotten w. jones
Photolithography chapter by scotten w. jones
Photolithography chapter by scotten w. jones
Photolithography chapter by scotten w. jones
Photolithography chapter by scotten w. jones
Photolithography chapter by scotten w. jones
Photolithography chapter by scotten w. jones
Photolithography chapter by scotten w. jones
Photolithography chapter by scotten w. jones
Photolithography chapter by scotten w. jones
Photolithography chapter by scotten w. jones
Photolithography chapter by scotten w. jones
Photolithography chapter by scotten w. jones
Photolithography chapter by scotten w. jones
Photolithography chapter by scotten w. jones
Photolithography chapter by scotten w. jones
Photolithography chapter by scotten w. jones
Photolithography chapter by scotten w. jones
Photolithography chapter by scotten w. jones
Photolithography chapter by scotten w. jones
Photolithography chapter by scotten w. jones
Photolithography chapter by scotten w. jones
Photolithography chapter by scotten w. jones
Photolithography chapter by scotten w. jones
Photolithography chapter by scotten w. jones
Photolithography chapter by scotten w. jones
Photolithography chapter by scotten w. jones
Photolithography chapter by scotten w. jones
Photolithography chapter by scotten w. jones
Photolithography chapter by scotten w. jones
Photolithography chapter by scotten w. jones
Photolithography chapter by scotten w. jones
Photolithography chapter by scotten w. jones
Photolithography chapter by scotten w. jones
Photolithography chapter by scotten w. jones
Photolithography chapter by scotten w. jones
Photolithography chapter by scotten w. jones
Photolithography chapter by scotten w. jones
Photolithography chapter by scotten w. jones
Photolithography chapter by scotten w. jones
Photolithography chapter by scotten w. jones
Photolithography chapter by scotten w. jones

More Related Content

What's hot

photolithography
photolithographyphotolithography
photolithography
Kumar Gaurav
 
Photolithography1
Photolithography1Photolithography1
Photolithography1
Suhasini S Kulkarni
 
IC Fabrication Process
IC Fabrication ProcessIC Fabrication Process
IC Fabrication Process
Soudip Sinha Roy
 
Polymer optical fibers
Polymer optical fibersPolymer optical fibers
Polymer optical fibers
Harshit Agarwal
 
Apps of thin films
Apps of thin filmsApps of thin films
Apps of thin films
Aneetta Davis
 
PHOTONIC CRYSTALS
PHOTONIC CRYSTALSPHOTONIC CRYSTALS
PHOTONIC CRYSTALS
NAGUR SHAREEF SHAIK
 
Process of Semiconductor Manufacturing
Process of Semiconductor ManufacturingProcess of Semiconductor Manufacturing
Process of Semiconductor Manufacturing
WAFER WORLD, INC
 
Ion beam lithography
Ion beam lithographyIon beam lithography
Ion beam lithographyHoang Tien
 
Extreme ultraviolet lithography ppt
Extreme ultraviolet lithography pptExtreme ultraviolet lithography ppt
Extreme ultraviolet lithography ppt
sumang89
 
A brief description of photolithography
A brief description of photolithographyA brief description of photolithography
A brief description of photolithography
shashi kant
 
Dry and wet etching
Dry and wet etchingDry and wet etching
Dry and wet etching
meet shah
 
Float Zone, Bridgman Techniques--ABU SYED KUET
Float Zone, Bridgman Techniques--ABU SYED KUETFloat Zone, Bridgman Techniques--ABU SYED KUET
Float Zone, Bridgman Techniques--ABU SYED KUET
A. S. M. Jannatul Islam
 
3dchips
3dchips3dchips
3dchips
MarkClemens
 
Electron beam lithography
Electron beam lithographyElectron beam lithography
Electron beam lithography
karoline Enoch
 
Photoluminescence
PhotoluminescencePhotoluminescence
Optical properties
Optical propertiesOptical properties
Optical properties
Chintan Morsiya
 
Optical Instrumentation 12. Optical Fibre Losses
Optical Instrumentation   12. Optical Fibre LossesOptical Instrumentation   12. Optical Fibre Losses
Optical Instrumentation 12. Optical Fibre Losses
Burdwan University
 

What's hot (20)

photolithography
photolithographyphotolithography
photolithography
 
Photolithography1
Photolithography1Photolithography1
Photolithography1
 
IC Fabrication Process
IC Fabrication ProcessIC Fabrication Process
IC Fabrication Process
 
5 fabrication
5 fabrication5 fabrication
5 fabrication
 
Polymer optical fibers
Polymer optical fibersPolymer optical fibers
Polymer optical fibers
 
Apps of thin films
Apps of thin filmsApps of thin films
Apps of thin films
 
PHOTONIC CRYSTALS
PHOTONIC CRYSTALSPHOTONIC CRYSTALS
PHOTONIC CRYSTALS
 
Process of Semiconductor Manufacturing
Process of Semiconductor ManufacturingProcess of Semiconductor Manufacturing
Process of Semiconductor Manufacturing
 
Ion beam lithography
Ion beam lithographyIon beam lithography
Ion beam lithography
 
Extreme ultraviolet lithography ppt
Extreme ultraviolet lithography pptExtreme ultraviolet lithography ppt
Extreme ultraviolet lithography ppt
 
A brief description of photolithography
A brief description of photolithographyA brief description of photolithography
A brief description of photolithography
 
Dry and wet etching
Dry and wet etchingDry and wet etching
Dry and wet etching
 
Sputtering process
Sputtering processSputtering process
Sputtering process
 
Float Zone, Bridgman Techniques--ABU SYED KUET
Float Zone, Bridgman Techniques--ABU SYED KUETFloat Zone, Bridgman Techniques--ABU SYED KUET
Float Zone, Bridgman Techniques--ABU SYED KUET
 
3dchips
3dchips3dchips
3dchips
 
E beam lithography
E beam lithographyE beam lithography
E beam lithography
 
Electron beam lithography
Electron beam lithographyElectron beam lithography
Electron beam lithography
 
Photoluminescence
PhotoluminescencePhotoluminescence
Photoluminescence
 
Optical properties
Optical propertiesOptical properties
Optical properties
 
Optical Instrumentation 12. Optical Fibre Losses
Optical Instrumentation   12. Optical Fibre LossesOptical Instrumentation   12. Optical Fibre Losses
Optical Instrumentation 12. Optical Fibre Losses
 

Viewers also liked

photolithography_a
photolithography_aphotolithography_a
photolithography_aguestda8318
 
Photolithography Equipment and Materials for Advanced Packaging, MEMS and LED...
Photolithography Equipment and Materials for Advanced Packaging, MEMS and LED...Photolithography Equipment and Materials for Advanced Packaging, MEMS and LED...
Photolithography Equipment and Materials for Advanced Packaging, MEMS and LED...
Yole Developpement
 
5.2. lithography 3,4,5 final,2013
5.2. lithography 3,4,5 final,20135.2. lithography 3,4,5 final,2013
5.2. lithography 3,4,5 final,2013
Bhargav Veepuri
 
Electron beam lithography
Electron beam lithographyElectron beam lithography
Electron beam lithography
Rohan Deokar
 
Wet and Dry Etching
Wet and Dry EtchingWet and Dry Etching
Wet and Dry Etching
Dr. Ghanshyam Singh
 
Etching
EtchingEtching
Etching
Deepak Rawat
 
Kss 2010- processes for film stripping
Kss 2010- processes for film strippingKss 2010- processes for film stripping
Kss 2010- processes for film stripping
Sidewinder2011
 
Designing a low cost UV-Exposure System for Optical Microlithography
Designing a low cost UV-Exposure System for Optical MicrolithographyDesigning a low cost UV-Exposure System for Optical Microlithography
Designing a low cost UV-Exposure System for Optical Microlithography
SushenDhali
 
8.2. microtech.ion implant.3
8.2. microtech.ion implant.38.2. microtech.ion implant.3
8.2. microtech.ion implant.3
Bhargav Veepuri
 
ETE444-lec6-nanofabrication.pptx
ETE444-lec6-nanofabrication.pptxETE444-lec6-nanofabrication.pptx
ETE444-lec6-nanofabrication.pptxmashiur
 
Photo-Lithography and Wet Processing (Develop, Etch and Strip)
Photo-Lithography and Wet Processing (Develop, Etch and Strip)Photo-Lithography and Wet Processing (Develop, Etch and Strip)
Photo-Lithography and Wet Processing (Develop, Etch and Strip)
John Glenning
 
Diffusion & photolithography process for electronic device manufacturing
Diffusion & photolithography process for electronic device manufacturingDiffusion & photolithography process for electronic device manufacturing
Diffusion & photolithography process for electronic device manufacturing
ArunKRai
 
Ch11 polyphase
Ch11 polyphaseCh11 polyphase
Ch11 polyphase
Chai Khun 蔡國鈞
 
4. contamination reduction
4. contamination reduction4. contamination reduction
4. contamination reduction
Bhargav Veepuri
 
Ion implantation
Ion implantationIon implantation
Ion implantation
Adel Niño Iligan
 
5.1. lithography 1,2.final 2013
5.1. lithography 1,2.final 20135.1. lithography 1,2.final 2013
5.1. lithography 1,2.final 2013
Bhargav Veepuri
 
6.1. thermal oxidation 1,2.micro tech,2013
6.1. thermal oxidation 1,2.micro tech,20136.1. thermal oxidation 1,2.micro tech,2013
6.1. thermal oxidation 1,2.micro tech,2013
Bhargav Veepuri
 
Vacuum arc deposition (Yan Valsky) - Lecture Dr.V.Zhitomirsky (Coating cource...
Vacuum arc deposition (Yan Valsky) - Lecture Dr.V.Zhitomirsky (Coating cource...Vacuum arc deposition (Yan Valsky) - Lecture Dr.V.Zhitomirsky (Coating cource...
Vacuum arc deposition (Yan Valsky) - Lecture Dr.V.Zhitomirsky (Coating cource...
Yan Valsky, MSc, MBA
 

Viewers also liked (20)

photolithography_a
photolithography_aphotolithography_a
photolithography_a
 
Photolithography Equipment and Materials for Advanced Packaging, MEMS and LED...
Photolithography Equipment and Materials for Advanced Packaging, MEMS and LED...Photolithography Equipment and Materials for Advanced Packaging, MEMS and LED...
Photolithography Equipment and Materials for Advanced Packaging, MEMS and LED...
 
5.2. lithography 3,4,5 final,2013
5.2. lithography 3,4,5 final,20135.2. lithography 3,4,5 final,2013
5.2. lithography 3,4,5 final,2013
 
Electron beam lithography
Electron beam lithographyElectron beam lithography
Electron beam lithography
 
Wet and Dry Etching
Wet and Dry EtchingWet and Dry Etching
Wet and Dry Etching
 
Etching
EtchingEtching
Etching
 
Kss 2010- processes for film stripping
Kss 2010- processes for film strippingKss 2010- processes for film stripping
Kss 2010- processes for film stripping
 
Designing a low cost UV-Exposure System for Optical Microlithography
Designing a low cost UV-Exposure System for Optical MicrolithographyDesigning a low cost UV-Exposure System for Optical Microlithography
Designing a low cost UV-Exposure System for Optical Microlithography
 
8.2. microtech.ion implant.3
8.2. microtech.ion implant.38.2. microtech.ion implant.3
8.2. microtech.ion implant.3
 
ETE444-lec6-nanofabrication.pptx
ETE444-lec6-nanofabrication.pptxETE444-lec6-nanofabrication.pptx
ETE444-lec6-nanofabrication.pptx
 
Photo-Lithography and Wet Processing (Develop, Etch and Strip)
Photo-Lithography and Wet Processing (Develop, Etch and Strip)Photo-Lithography and Wet Processing (Develop, Etch and Strip)
Photo-Lithography and Wet Processing (Develop, Etch and Strip)
 
Diffusion & photolithography process for electronic device manufacturing
Diffusion & photolithography process for electronic device manufacturingDiffusion & photolithography process for electronic device manufacturing
Diffusion & photolithography process for electronic device manufacturing
 
Ch11 polyphase
Ch11 polyphaseCh11 polyphase
Ch11 polyphase
 
4. contamination reduction
4. contamination reduction4. contamination reduction
4. contamination reduction
 
Micromachining bulk
Micromachining bulkMicromachining bulk
Micromachining bulk
 
Ion implantation
Ion implantationIon implantation
Ion implantation
 
5.1. lithography 1,2.final 2013
5.1. lithography 1,2.final 20135.1. lithography 1,2.final 2013
5.1. lithography 1,2.final 2013
 
Ch 13
Ch 13Ch 13
Ch 13
 
6.1. thermal oxidation 1,2.micro tech,2013
6.1. thermal oxidation 1,2.micro tech,20136.1. thermal oxidation 1,2.micro tech,2013
6.1. thermal oxidation 1,2.micro tech,2013
 
Vacuum arc deposition (Yan Valsky) - Lecture Dr.V.Zhitomirsky (Coating cource...
Vacuum arc deposition (Yan Valsky) - Lecture Dr.V.Zhitomirsky (Coating cource...Vacuum arc deposition (Yan Valsky) - Lecture Dr.V.Zhitomirsky (Coating cource...
Vacuum arc deposition (Yan Valsky) - Lecture Dr.V.Zhitomirsky (Coating cource...
 

Similar to Photolithography chapter by scotten w. jones

Photolithography-Slides_1.ppt
Photolithography-Slides_1.pptPhotolithography-Slides_1.ppt
Photolithography-Slides_1.ppt
samadali39
 
Photochemistry of organic compounds
Photochemistry of organic compounds Photochemistry of organic compounds
Photochemistry of organic compounds
ChatLieAsk
 
1.11 photo physics
1.11 photo physics1.11 photo physics
1.11 photo physicsQC Labs
 
Lect. 5 quantum yield and photosensitize reaction
Lect. 5 quantum yield and photosensitize reactionLect. 5 quantum yield and photosensitize reaction
Lect. 5 quantum yield and photosensitize reaction
Shri Shivaji Science College Amravati
 
photo lithography for MEMS and developing micro-structures
photo lithography for MEMS and developing micro-structures photo lithography for MEMS and developing micro-structures
photo lithography for MEMS and developing micro-structures
Ahmed Elsayes
 
Viii. molecular electronics and nanoscience
Viii. molecular electronics and nanoscienceViii. molecular electronics and nanoscience
Viii. molecular electronics and nanoscienceAllenHermann
 
Liquid crystal spatial light modulator (LCSLMs)
Liquid crystal spatial light modulator  (LCSLMs)Liquid crystal spatial light modulator  (LCSLMs)
Liquid crystal spatial light modulator (LCSLMs)
ajay singh
 
Lithography, Photolithography--ABU SYED KUET
Lithography, Photolithography--ABU SYED KUETLithography, Photolithography--ABU SYED KUET
Lithography, Photolithography--ABU SYED KUET
A. S. M. Jannatul Islam
 
650415main ultra lightweight_photonic_muscle_space_telescope
650415main ultra lightweight_photonic_muscle_space_telescope650415main ultra lightweight_photonic_muscle_space_telescope
650415main ultra lightweight_photonic_muscle_space_telescopeClifford Stone
 
Photoreactors for Heterogeneous Photocatalysis for Wastewater Treatment
Photoreactors for Heterogeneous Photocatalysis for Wastewater TreatmentPhotoreactors for Heterogeneous Photocatalysis for Wastewater Treatment
Photoreactors for Heterogeneous Photocatalysis for Wastewater Treatment
IRJET Journal
 
Electrochemical impedance spectroscopy on thermal
Electrochemical impedance spectroscopy on thermalElectrochemical impedance spectroscopy on thermal
Electrochemical impedance spectroscopy on thermal
eSAT Publishing House
 
Electrochemical impedance spectroscopy on thermal ageing evaluation of epoxy ...
Electrochemical impedance spectroscopy on thermal ageing evaluation of epoxy ...Electrochemical impedance spectroscopy on thermal ageing evaluation of epoxy ...
Electrochemical impedance spectroscopy on thermal ageing evaluation of epoxy ...
eSAT Journals
 
Photochemistry PPT.pptx
Photochemistry PPT.pptxPhotochemistry PPT.pptx
Photochemistry PPT.pptx
SATISH KOLA
 
Piezoelectric Photothermal Spectroscopy (PPT)_V4
Piezoelectric Photothermal Spectroscopy (PPT)_V4Piezoelectric Photothermal Spectroscopy (PPT)_V4
Piezoelectric Photothermal Spectroscopy (PPT)_V4Nadal Sarkytbayev
 
Module PHY6002 Inorganic Semiconductor Nanostructures Lectur.docx
Module PHY6002 Inorganic Semiconductor Nanostructures Lectur.docxModule PHY6002 Inorganic Semiconductor Nanostructures Lectur.docx
Module PHY6002 Inorganic Semiconductor Nanostructures Lectur.docx
moirarandell
 
Pdoc
PdocPdoc
OLED and solar cell
 OLED and solar cell OLED and solar cell
OLED and solar cell
Preeti Choudhary
 
Organic Photovoltaic Devices (OPVs)
Organic Photovoltaic Devices (OPVs)Organic Photovoltaic Devices (OPVs)
Organic Photovoltaic Devices (OPVs)
cdtpv
 

Similar to Photolithography chapter by scotten w. jones (20)

Photolithography-Slides_1.ppt
Photolithography-Slides_1.pptPhotolithography-Slides_1.ppt
Photolithography-Slides_1.ppt
 
Photochemistry of organic compounds
Photochemistry of organic compounds Photochemistry of organic compounds
Photochemistry of organic compounds
 
1.11 photo physics
1.11 photo physics1.11 photo physics
1.11 photo physics
 
Lect. 5 quantum yield and photosensitize reaction
Lect. 5 quantum yield and photosensitize reactionLect. 5 quantum yield and photosensitize reaction
Lect. 5 quantum yield and photosensitize reaction
 
photo lithography for MEMS and developing micro-structures
photo lithography for MEMS and developing micro-structures photo lithography for MEMS and developing micro-structures
photo lithography for MEMS and developing micro-structures
 
Viii. molecular electronics and nanoscience
Viii. molecular electronics and nanoscienceViii. molecular electronics and nanoscience
Viii. molecular electronics and nanoscience
 
Liquid crystal spatial light modulator (LCSLMs)
Liquid crystal spatial light modulator  (LCSLMs)Liquid crystal spatial light modulator  (LCSLMs)
Liquid crystal spatial light modulator (LCSLMs)
 
Lithography, Photolithography--ABU SYED KUET
Lithography, Photolithography--ABU SYED KUETLithography, Photolithography--ABU SYED KUET
Lithography, Photolithography--ABU SYED KUET
 
650415main ultra lightweight_photonic_muscle_space_telescope
650415main ultra lightweight_photonic_muscle_space_telescope650415main ultra lightweight_photonic_muscle_space_telescope
650415main ultra lightweight_photonic_muscle_space_telescope
 
Photoreactors for Heterogeneous Photocatalysis for Wastewater Treatment
Photoreactors for Heterogeneous Photocatalysis for Wastewater TreatmentPhotoreactors for Heterogeneous Photocatalysis for Wastewater Treatment
Photoreactors for Heterogeneous Photocatalysis for Wastewater Treatment
 
Electrochemical impedance spectroscopy on thermal
Electrochemical impedance spectroscopy on thermalElectrochemical impedance spectroscopy on thermal
Electrochemical impedance spectroscopy on thermal
 
Electrochemical impedance spectroscopy on thermal ageing evaluation of epoxy ...
Electrochemical impedance spectroscopy on thermal ageing evaluation of epoxy ...Electrochemical impedance spectroscopy on thermal ageing evaluation of epoxy ...
Electrochemical impedance spectroscopy on thermal ageing evaluation of epoxy ...
 
Photochemistry PPT.pptx
Photochemistry PPT.pptxPhotochemistry PPT.pptx
Photochemistry PPT.pptx
 
Piezoelectric Photothermal Spectroscopy (PPT)_V4
Piezoelectric Photothermal Spectroscopy (PPT)_V4Piezoelectric Photothermal Spectroscopy (PPT)_V4
Piezoelectric Photothermal Spectroscopy (PPT)_V4
 
Finaluniversitywork
FinaluniversityworkFinaluniversitywork
Finaluniversitywork
 
Module PHY6002 Inorganic Semiconductor Nanostructures Lectur.docx
Module PHY6002 Inorganic Semiconductor Nanostructures Lectur.docxModule PHY6002 Inorganic Semiconductor Nanostructures Lectur.docx
Module PHY6002 Inorganic Semiconductor Nanostructures Lectur.docx
 
Photodetectors
PhotodetectorsPhotodetectors
Photodetectors
 
Pdoc
PdocPdoc
Pdoc
 
OLED and solar cell
 OLED and solar cell OLED and solar cell
OLED and solar cell
 
Organic Photovoltaic Devices (OPVs)
Organic Photovoltaic Devices (OPVs)Organic Photovoltaic Devices (OPVs)
Organic Photovoltaic Devices (OPVs)
 

Recently uploaded

Investor-Presentation-Q1FY2024 investor presentation document.pptx
Investor-Presentation-Q1FY2024 investor presentation document.pptxInvestor-Presentation-Q1FY2024 investor presentation document.pptx
Investor-Presentation-Q1FY2024 investor presentation document.pptx
AmarGB2
 
Cosmetic shop management system project report.pdf
Cosmetic shop management system project report.pdfCosmetic shop management system project report.pdf
Cosmetic shop management system project report.pdf
Kamal Acharya
 
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&BDesign and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
Sreedhar Chowdam
 
14 Template Contractual Notice - EOT Application
14 Template Contractual Notice - EOT Application14 Template Contractual Notice - EOT Application
14 Template Contractual Notice - EOT Application
SyedAbiiAzazi1
 
Planning Of Procurement o different goods and services
Planning Of Procurement o different goods and servicesPlanning Of Procurement o different goods and services
Planning Of Procurement o different goods and services
JoytuBarua2
 
Fundamentals of Electric Drives and its applications.pptx
Fundamentals of Electric Drives and its applications.pptxFundamentals of Electric Drives and its applications.pptx
Fundamentals of Electric Drives and its applications.pptx
manasideore6
 
Water billing management system project report.pdf
Water billing management system project report.pdfWater billing management system project report.pdf
Water billing management system project report.pdf
Kamal Acharya
 
Technical Drawings introduction to drawing of prisms
Technical Drawings introduction to drawing of prismsTechnical Drawings introduction to drawing of prisms
Technical Drawings introduction to drawing of prisms
heavyhaig
 
AKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdf
AKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdfAKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdf
AKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdf
SamSarthak3
 
Final project report on grocery store management system..pdf
Final project report on grocery store management system..pdfFinal project report on grocery store management system..pdf
Final project report on grocery store management system..pdf
Kamal Acharya
 
一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理
一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理
一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理
ydteq
 
NUMERICAL SIMULATIONS OF HEAT AND MASS TRANSFER IN CONDENSING HEAT EXCHANGERS...
NUMERICAL SIMULATIONS OF HEAT AND MASS TRANSFER IN CONDENSING HEAT EXCHANGERS...NUMERICAL SIMULATIONS OF HEAT AND MASS TRANSFER IN CONDENSING HEAT EXCHANGERS...
NUMERICAL SIMULATIONS OF HEAT AND MASS TRANSFER IN CONDENSING HEAT EXCHANGERS...
ssuser7dcef0
 
Tutorial for 16S rRNA Gene Analysis with QIIME2.pdf
Tutorial for 16S rRNA Gene Analysis with QIIME2.pdfTutorial for 16S rRNA Gene Analysis with QIIME2.pdf
Tutorial for 16S rRNA Gene Analysis with QIIME2.pdf
aqil azizi
 
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
obonagu
 
Sachpazis:Terzaghi Bearing Capacity Estimation in simple terms with Calculati...
Sachpazis:Terzaghi Bearing Capacity Estimation in simple terms with Calculati...Sachpazis:Terzaghi Bearing Capacity Estimation in simple terms with Calculati...
Sachpazis:Terzaghi Bearing Capacity Estimation in simple terms with Calculati...
Dr.Costas Sachpazis
 
MCQ Soil mechanics questions (Soil shear strength).pdf
MCQ Soil mechanics questions (Soil shear strength).pdfMCQ Soil mechanics questions (Soil shear strength).pdf
MCQ Soil mechanics questions (Soil shear strength).pdf
Osamah Alsalih
 
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
bakpo1
 
Basic Industrial Engineering terms for apparel
Basic Industrial Engineering terms for apparelBasic Industrial Engineering terms for apparel
Basic Industrial Engineering terms for apparel
top1002
 
Understanding Inductive Bias in Machine Learning
Understanding Inductive Bias in Machine LearningUnderstanding Inductive Bias in Machine Learning
Understanding Inductive Bias in Machine Learning
SUTEJAS
 
6th International Conference on Machine Learning & Applications (CMLA 2024)
6th International Conference on Machine Learning & Applications (CMLA 2024)6th International Conference on Machine Learning & Applications (CMLA 2024)
6th International Conference on Machine Learning & Applications (CMLA 2024)
ClaraZara1
 

Recently uploaded (20)

Investor-Presentation-Q1FY2024 investor presentation document.pptx
Investor-Presentation-Q1FY2024 investor presentation document.pptxInvestor-Presentation-Q1FY2024 investor presentation document.pptx
Investor-Presentation-Q1FY2024 investor presentation document.pptx
 
Cosmetic shop management system project report.pdf
Cosmetic shop management system project report.pdfCosmetic shop management system project report.pdf
Cosmetic shop management system project report.pdf
 
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&BDesign and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
 
14 Template Contractual Notice - EOT Application
14 Template Contractual Notice - EOT Application14 Template Contractual Notice - EOT Application
14 Template Contractual Notice - EOT Application
 
Planning Of Procurement o different goods and services
Planning Of Procurement o different goods and servicesPlanning Of Procurement o different goods and services
Planning Of Procurement o different goods and services
 
Fundamentals of Electric Drives and its applications.pptx
Fundamentals of Electric Drives and its applications.pptxFundamentals of Electric Drives and its applications.pptx
Fundamentals of Electric Drives and its applications.pptx
 
Water billing management system project report.pdf
Water billing management system project report.pdfWater billing management system project report.pdf
Water billing management system project report.pdf
 
Technical Drawings introduction to drawing of prisms
Technical Drawings introduction to drawing of prismsTechnical Drawings introduction to drawing of prisms
Technical Drawings introduction to drawing of prisms
 
AKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdf
AKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdfAKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdf
AKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdf
 
Final project report on grocery store management system..pdf
Final project report on grocery store management system..pdfFinal project report on grocery store management system..pdf
Final project report on grocery store management system..pdf
 
一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理
一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理
一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理
 
NUMERICAL SIMULATIONS OF HEAT AND MASS TRANSFER IN CONDENSING HEAT EXCHANGERS...
NUMERICAL SIMULATIONS OF HEAT AND MASS TRANSFER IN CONDENSING HEAT EXCHANGERS...NUMERICAL SIMULATIONS OF HEAT AND MASS TRANSFER IN CONDENSING HEAT EXCHANGERS...
NUMERICAL SIMULATIONS OF HEAT AND MASS TRANSFER IN CONDENSING HEAT EXCHANGERS...
 
Tutorial for 16S rRNA Gene Analysis with QIIME2.pdf
Tutorial for 16S rRNA Gene Analysis with QIIME2.pdfTutorial for 16S rRNA Gene Analysis with QIIME2.pdf
Tutorial for 16S rRNA Gene Analysis with QIIME2.pdf
 
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
 
Sachpazis:Terzaghi Bearing Capacity Estimation in simple terms with Calculati...
Sachpazis:Terzaghi Bearing Capacity Estimation in simple terms with Calculati...Sachpazis:Terzaghi Bearing Capacity Estimation in simple terms with Calculati...
Sachpazis:Terzaghi Bearing Capacity Estimation in simple terms with Calculati...
 
MCQ Soil mechanics questions (Soil shear strength).pdf
MCQ Soil mechanics questions (Soil shear strength).pdfMCQ Soil mechanics questions (Soil shear strength).pdf
MCQ Soil mechanics questions (Soil shear strength).pdf
 
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
 
Basic Industrial Engineering terms for apparel
Basic Industrial Engineering terms for apparelBasic Industrial Engineering terms for apparel
Basic Industrial Engineering terms for apparel
 
Understanding Inductive Bias in Machine Learning
Understanding Inductive Bias in Machine LearningUnderstanding Inductive Bias in Machine Learning
Understanding Inductive Bias in Machine Learning
 
6th International Conference on Machine Learning & Applications (CMLA 2024)
6th International Conference on Machine Learning & Applications (CMLA 2024)6th International Conference on Machine Learning & Applications (CMLA 2024)
6th International Conference on Machine Learning & Applications (CMLA 2024)
 

Photolithography chapter by scotten w. jones

  • 2. i Preface The following document was written in 2000 as a chapter on Photolithography for inclu- sion in a highly technical - text book on Silicon Integrated Circuit Process Technology. For marketing reasons we abandoned the book without completing it but this was one of several chapters that are complete. This chapter is a follow on to the chapters on diffusion and silicon properties we posted previously. We hope you find it useful. Please note that this material was written back when we planned to publish it as a hard cover book in black and white and is not in color the way all of the current IC Knowledge reports are. Scotten W Jones President IC Knowledge LLC February 20, 2008
  • 3. ii Contents 1.1. Introduction 1 1.2. Photoresist chemistry 2 1.3. Surface preparation 24 1.4. Coating 27 1.5. Soft bake 32 1.6. Optical principles 36 1.7. Optical exposure 60 1.8. Process and resolution enhancements 78 1.9. Non optical exposure 85 1.10. Post exposure bake 91 1.11. Develop 92 1.12. Hard bake 95 1.13. Photo stabilization 97 1.14. Photolithography process flows 97 1.15. Multilevel photoresists 99 1.16. Multilayer photoresist 100 1.17. Metrology and inspection 101
  • 4. Copyright © 2000 IC Knowledge LLC, all rights reserved 1 5 Photolithography 5.1. Introduction 5.2. Photoresist chemistry 5.3. Surface preparation 5.4. Coating 5.5. Soft bake 5.6. Optical principles 5.7. Optical exposure 5.8. Process and resolution enhancements 5.9. Non optical exposure 5.10. Post exposure bake 5.11. Develop 5.12. Hard bake 5.13. Photo stabilization 5.14. Photolithography process flows 5.15. Multilevel photoresists 5.16. Metrology 5.1. Introduction The incredible progress the IC industry has made in packing more and more functionality onto a single chip has been driven by photolithography to a greater extent than any other area of processing. From 1970 when 10␮m lines were the predominant linewidth, to 2000 when 0.18␮m linewidths are in volume production, linewidths have shrunk by nearly two orders of magnitude -see figure 5.1. In this chapter photolithography will be reviewed. The chapter opens with a discussion of photoresist chemistry followed by a detailed step by step discussion of the photolithography process. Supplemental material on optics that is required to understand opti- cal exposure will be presented along with the latest optical exposure resolution enhancement techniques. Some candidate post optical exposure systems will be presented and overall photo- lithography process flows will be reviewed. The chapter closes with a discussion of metrology equipment relevant to photolithography.
  • 5. 2 Copyright © 2000 IC Knowledge LLC, all rights Figure 5.1: Minimum linewidth trends [1],[2],[3]. 5.2. Photoresist chemistry The word Photoresist comes from the combination of photosensitive and acid resistant, i.e. a photoresist can be photographically patterned and will stand up to acid etch, enabling pat- terned etching. A photoresist useful for IC fabrication must exhibit several characteristics in addition to photosensitivity and acid resistance - they are: 1. Coating - must be able to form a thin, uniform, pinhole free film. 2. Adhesion - adheres to the underlying substrate and does not “lift off” during subsequent processing. 3. Sensitivity -must be sensitive to the wavelength of radiation utilized for exposure. 4. Resolution - the ability to resolve the required minimum feature size being printed. 5. Developing - must exhibit a significant difference in develop rate between the exposed and unexposed areas without pattern distortion. 6. Process resistance - withstands plasma etch processes, high dose ion implantation, and wet etchants. 7. Easy removal - must be removable following processing. 8. Thermal stability - must withstand bake processes without pattern distortion. 9. Stability - the formulation must be stable enough to be stored for reasonable periods of time without requiring prohibitively difficult storage conditions. 10. Safety - the material cannot present an unacceptable health hazard. 10 1 Intel X86 MPU ITRS MPU - gate DRAM IRTS DRAM - 1/2 pitch 0.1 4004 8008 1K 4K 16K 64K 256K 1M 4M 16M 64M 64M 256M 8080 8085 8086 8088 286 386 486 P1 P1 PP PII PII PIII 0.01 1970 1980 1990 2000 2010 Year Linewidth(m)␮
  • 6. Copyright © 2000 IC Knowledge LLC, all rights reserved 3 Practical photoresists meeting the above criteria are available from a number of suppliers such as Shipley, Clariant/Az, Olin Microelectronics, Sumitomo Chemical Co., etc. Practical photoresists are generally composed of three major components: 1. Polymer - the polymer is the backbone of the photoresist. Following exposure and develop- ing the polymer is the major constituent of the patterned photoresist film. 2. Photo active compound (PAC) - the PAC is the constituent of the photoresist that undergoes a reaction when exposed to light. The photoactive compound may be combined with the polymer. 3. Solvent - keeps the photoresist in liquid form until after coating. Photoresist may be classified as negative or positive photoresist. • Negative photoresist - relatively developer soluble until exposed to light at which point the exposed portion exhibits decreased developer solubility. • Positive photoresist - relatively developer insoluble until exposed to light at which point the exposed portion exhibits increased developer solubility. Figure 5.2 illustrates negative and positive photoresist behavior. Figure 5.2: Negative and positive photoresist. 5.2.1. Photochemistry basics In order for exposure to light to change the developer solubility of a photoresist film - some type of photochemical reaction must take place. The 1st law of photochemistry - the Grotthus- Drapper law states • First law of photochemistry - only light that is absorbed can cause chemical change. When a molecule absorbs a photon of light, the molecule is promoted to an excited state. Most molecules have an even number of electrons in the ground state and the electrons are spin paired, i.e. the molecule has no net spin angular momentum and the state is referred to as a sin- glet. The singlet ground state is typically denoted as S0. In most absorption processes an elec- tron is excited to a higher energy level, S1, S2, S3 etc. - see figure 5.3a. Each singlet state has a corresponding triplet state where the electrons are not spin paired, denoted, T1, T2, T3, etc. - see a) Negative photoresist b) Positive photoresist Expose Exposed area Unexposed area Exposing radiation Exposing radiation Develop Patterned photomask
  • 7. 4 Copyright © 2000 IC Knowledge LLC, all rights figure 5.3b (the states are called triplets because in a magnetic field they break up into three levels). Figure 5.3: Singlet and triplet states Due to the same spin pairing of the outermost electrons in the triplet state, the Pauli exclu- sion principle forces the electrons to be more widely spaced resulting in the triplet state having a lower energy than the corresponding singlet state. Non radiative transitions between states with the same spin are referred to as internal conversions, where an electron transitions from a higher electronic state to the upper vibrational level of the lower state, for example S2 -> S1 or T2 -> T1. These transitions are isoenergetic. When a non radiative transition occurs between states with different electron spins an intersystem crossing occurs and the transition is followed by a vibrational cascade, for example S1 -> T1 or S2 -> T2. Molecules in an exited vibrational state, singlet or triplet may undergo radiative transitions where the molecules relax by emitting a photon, non radiative transitions for example vibrational, or they can undergo a variety of photochemical reactions as illustrated in figure 5.4 [4]. The activation energies for chemical reactions are generally in the 0.43 - 4.33eV range. The energy of an incident photon is given by (5.1) where, ␭ is the wavelength of the incident light, h is planks constant and C is the speed of light in a vacuum (see appendix C for the values of h and C). Table 5.1 presents the photon energy for some current and planned exposure wavelengths. The second law of photochemistry is the Stark - Einstein law (not really a law): • Second law of photochemistry - one quantum of light is absorbed per molecule of absorb- ing and reacting substance. The quantum yield of the process is defined as (5.2) S0 S1 T1 Absorption Intersystem crossing Photon a) Absorption b) Intersystem crossing E hC λ -------= φ # of molecules decomposed or formed # of quanta absorbed --------------------------------------------------------------------------------------------=
  • 8. Copyright © 2000 IC Knowledge LLC, all rights reserved 5 Quantum yields of photochemical reactions at room temperature range over approximately seven orders of magnitude from 1x10-2 to 1x105 . Figure 5.4: Primary photochemical reactions [4]. Two other important laws of photochemistry are: • Lambert law - the fraction of incident radiation absorbed by a transparent medium is inde- pendent of the intensity of the incident radiation and each successive layer of the medium absorbs an equal fraction of the incident radiation. • Beer law - the amount of radiation absorbed is proportional to the number of absorbing molecules. If light with an initial intensity I0 is incident on a photoresist film, then the portion of the incident intensity absorbed in a thickness dx is given by (see figure 5.5) (5.3) where, ␣ is the absorption coefficient and C is the absorbing molecules per unit volume. Table 5.1: Photon energy of exposure wavelengths. Wavelength (nm) Energy (eV) 436 2.84 365 3.40 248 5.00 193 6.42 157 7.90 (S ) ABC1 S1 - singlet, T - triplet1 AB + C• • E + F Dissociation into radicals Decomposition into molecules Intermolecular rearrangement Photoisomerization Hydrogen ion abstraction Photodimerization Photosensitization Photoionization External electron transfer Internal electron transfer ACB (ABCH) + R• • ABC + prod ABC + e + - AB + C + - ABC + D + (o r-) - (o r+) (ABC)2 RH D ABC ABC*(S )1 ABC*(S )0 ABC*(T ) or1 (T ) ABC1 ΔI I0 ------ αCdx=
  • 9. 6 Copyright © 2000 IC Knowledge LLC, all rights The specific value of ␣ depends on the photoresist film and the wavelength of the incident radiation. Curves for various photoresists are available and may be expressed as optical density or absorbance versus wavelength, where optical density is defined as (see also figure 5.5) (5.4) and (5.5) Figure 5.5: Photoresist exposure energy absorption. Absorbance curves for various photoresist will be presented later in this section. 5.2.2. Negative photoresist The first commercial photoresist for semiconductor production was a negative photoresist - Kodak Thin Film Resist (KTFR) invented by Martin Hepher and Hans Wagner [5]. KTFR went on to become the workhorse photoresist for the semiconductor industry from 1957 until approximately 1972 when minimum dimensions reached approximately 2␮ms - the resolution limit for KTFR [6]. Due to the popularity of KTFR, KTFR will be discussed in detail as an example of a negative photoresist. It should be noted that there are other successful negative photoresist formulations. The polymer in KTFR is a cyclized poly(cis-isoprene) of molecular weight of approxi- mately 150,000. A synthetic rubber is treated with acidic reagents to reduce the degree of unsaturation in the rubber and stabilize the rubber against O2 mediated cross-linking [6]. • Unsaturation - the total number of multiple bonds or rings in a molecule. The preparation of synthetic cis-1,4-polyisoprene is illustrated in figure 5.6. Notice how two double bonds are replaced by a single double bond, i.e., the degree of unsaturation is reduced. OD Log I0 I ----= I I0 I– 0 10 αCx– = I0 at 0 I at x Film Incident radiation Intensity x dx
  • 10. Copyright © 2000 IC Knowledge LLC, all rights reserved 7 Figure 5.6: Preparation of synthetic cis-1,4-polyisoprene [7]. The cyclization process lowers the viscosity of the rubber and raises the softening point from 30 to nearly 60o C [6]. The polyisoprene from figure 5.6 is cyclized to produce resin (see figure 5.7). • Cyclization - formation of a ring compound from a chain by formation of a new bond. Cyclization is accomplished by reacting acidic reagents with shredded rubber. Suitable acids include: sulfuric acid, p-toluene sulfonic acid, p-toluene sulfonyl chloride, and phenol sulfonic acid derivatives [7]. Figure 5.7: Polyisoprene resin formation [7]. There are several possible cyclization modes that may result (see figure 5.8). The cyclicity is given by (5.6) where, n is the number of isoprene units. CH2 CH2CH2 CH2 CH3 CH3 CH CHC Cn n CH2 CH2 CH2 CH2 CH2 CH2 CH2 CH2 HC HCH HC HCH HC HC HC HC HCH HCH HCH HCH CH3 CH3 CH3 CH3 CH3 CH3 CH3 CH3 C C C C C C C C H C H H C H H C H H C H Rubber (natural or synthetic) Repeating structure of polyisoprene polymer. Note: there is one double bond for each five carbon atoms. Resin Isomeric after structure monacyclization (D’Ianni [8]) Note: there is one double bond for each ten carbon atoms. cyclicity n 1–=
  • 11. 8 Copyright © 2000 IC Knowledge LLC, all rights Figure 5.8: Cyclization modes [7]. The properties of polyisoprene before and after cyclization are presented in table 5.2. The cyclization process creates a more compact and rigid structure as double bonds are eliminated. From table 5.2, the density, refractive index and softening point all increase and the viscosity decreases during cyclization. • Viscosity - an internal property of a fluid that offers resistance to flow. Photoresist properties such as photospeed, adhesion and thermal behavior all depend on the specifics of the cyclization process [21]. High molecular weight cyclized rubbers show greater photo sensitivity but also show poorer adhesion and are more difficult to develop cleanly. Cyclized rubber does not absorb light above 300nms and does not undergo significant curing under ultraviolet (UV) exposure. In order to render cyclized rubber photosensitive a sensitizer is used. The sensitizer used in KTFR is a bis-arylazide, 2,6-bis(4-azidobenzal)-4-methylcyclo- hexanon. • Azides - compounds containing one or more N3 group. 2,6-bis(4-azidobenzal)-4-methylcyclohexanone is synthesized by condensation of para-azi- dobenzaldehyde with a substituted cyclohexane - see figure 5.9. Table 5.2: Properties of polyisoprene before and after cyclization [21]. Property Un-cyclized Cyclized Density 0.920 0.992 Refractive index 1.520 1.545 Softening point (o C) 28 50-65 Intrinsic viscosity 3-4 0.49-0.36 CH2 CH2 CH2 CH2 CH2 CH2 CH3 CH3 CH3 CH3 CH2 CH3 CH3 CH2 CH2 CH3 CH3 a) D’Ianni [8] b) Isomers c) Bicyclic
  • 12. Copyright © 2000 IC Knowledge LLC, all rights reserved 9 Figure 5.9: 2,6-bis(4-azidobenzal)-4-methylcyclohexanone sensitizer formation [6]. In order to effectively cross-link cyclized rubber the sensitizer must have two reactive sites. Upon exposure to light, the N3 groups terminating the ends of the sensitizer may give up an N2 molecule and leave a reactive nitrogen radical with 6 rather than 8 electrons in the valence shell - referred to as a nitrene - see figure 5.10. Figure 5.10: bis-arylazide sensitizer reaction with light. The exposure reaction may be monophotonic with a single photon breaking 2 - N2 mole- cules free leaving a nitrene at each end of the bis-arylazide, or biphotonic where two photons are required to create a nitrene at each end. The resulting nitrene may exist in the single or triplet state and is highly reactive. There are 5 probable nitrene reactions [21]: 1. Addition to olefins - nitrene additions to double bonds to form 3 membered azirdine ring compounds. This reaction is believed to be restricted by low concentration and sterically hindered nature of the double bond in cyclized rubber. 2. Hydrogen abstraction - nitrene abstraction of hydrogen atoms from cyclized rubber fol- lowed by recombination of the nitrogen and carbon radicals to form secondary amines. These reactions occurring at both ends of the bis-arylazide lead to polymer crosslinking - see figure 5.11. Polymer crosslinking reduces the solubility of the photoresist in developer. Figure 5.11: bis-arylazide sensitizer - polymer cross - linking. + 2 CH3 O C HO N3 CH CH3 O CH N3N3 NN R N2 N2 LightLight NN Polymer Polymer R
  • 13. 10 Copyright © 2000 IC Knowledge LLC, all rights • Amine - a simple compound containing an ammonia group such as RNH2, R2NH or R3N, where R is either an akyl or aryl hydrocarbon radical. 3. Dimerization - nitrogen-nitrogen double bonds form between bis-arylazide sensitizers by (5.7) This reaction competes with crosslinking, but is inconsequential as long as the sensitizer concentration isn’t too high. 4. Azide decomposition - a nitrene - nitrogen bond forms between bis-arylazide sensitizers by (5.8) Once again this reaction competes with crosslinking, but once again is inconsequential as long as the sensitizer concentration isn’t too high. 5. Oxidation - an oxygen atom reacts with a nitrene preventing cross linking by (5.9) Oxidation is minimized by limiting oxygen availability during exposure. Commercial exposure systems typically provide a nitrogen “blanket” during exposure. Due to reactions 3 and 4, there exists an optimum sensitizer level above which reactions 3 and 4 become prevalent and below which the photoresist does not exhibit sufficient photo-sen- sitivity. Because bis-arylazide sensitizers evolve nitrogen during exposure the exposure reaction rate must be controlled by limiting the incident exposure intensity in order to prevent nitrogen bubble formation in the film. Nitrogen bubbles can break down the film adhesion and effect resolution. The absorbance of a bis-arylazide sensitizer versus wavelength is illustrated in figure 5.12. Figure 5.12 also illustrates the extended absorbance of bis-arylazide that has undergone extended conjugation with vinylic groups. Bis-arylazide sensitivity drops off to near zero at 400nm, but with extended conjugation the sensitivity can be extended to longer wavelengths. The longer wavelength sensitivity can be useful with exposure systems where the light source is filtered to only include a single wavelength such as 436nm (G-Line) systems (see section 5.6 and 5.7). • Conjugation - alternating single and double bonds. In the case of bis-arylzides the single and double bonds connecting the carbon rings as shown in figure 5.13a are “extended” as illustrated in figure 5.13b. The solvents for cyclized rubber photoresist include, toluene, xylene, and halogenated hydrocarbons, with xylene being the most common. The structures of xylene and toluene are illustrated in figure 5.14. There are three forms of xylene illustrated that are found mixed together in commercial xylene, metaxylene is the predominant form. Following exposure, cyclized rubber photoresist developing is performed by exposing the photoresist to solvents with a solubility parameter similar to the solubility parameter of the photoresist - “like dissolves like” - for example, stodard solvent or xylene ␦ = 8.8. • Solubility parameter - the solubility parameter is defined as R N:– :N R R N–→–+ N R–= R N:– N3 R R N–→–+ N R– N2+= R N– 1 2⁄ O2 R N–→+ O=
  • 14. Copyright © 2000 IC Knowledge LLC, all rights reserved 11 (5.10) where, D is density, ⌬HV is the heat of vaporization, T is the temperature in o K and M is the molecular weight. For polymers, ␦ is found by noting the range of ␦ parameter solvents the polymer dissolves in. Figure 5.12: Absorbance versus wavelength for bis-arylazide sensitizer [22]. Figure 5.13: Extended conjugation of bis-arylazide [22]. Figure 5.14: Cyclized rubber photoresist solvents. δ ΔEV V ---------- D ΔHV RT–( ) M ---------------------------------- ⎝ ⎠ ⎛ ⎞ 1/2 = = 0.0 0.2 0.4 0.6 0.8 1.0 1.2 1.4 1.6 bis arylazides After extended conjugation 360nm 400nm 1.8 2.0 300 400 Wavelength (nm) Absorbance 500 CH3 O a) bis-arylzide b) bis-arylzide after extended conjugation N3N3 CH3 O N3 N3 CH3 CH3 CH3 CH3 CH3 CH3 CH3 Orthoxylene Metaxylene Paraxylene a) Xylenes b) Toluene
  • 15. 12 Copyright © 2000 IC Knowledge LLC, all rights Upon exposure to the developing solvent the cyclized rubber polymer swells. Where the polymer is crosslinked from exposure the cross-links hold the polymer together and where the polymer is not crosslinked the polymer strands are washed away by the developer - see figure 5.15. Figure 5.15: Cyclized rubber photoresist developing. One consequence of the solvent based developing process is that photoresist swells in both the un-exposed and exposed area. At the completion of developing the photoresist is rinsed with a solvent which is miscible with developer but not with the polymer, for example butyl acetate, ␦ ~12.4 or a isopropanol/trichlorethylene mixture. The rinsing step halts developing and reduces the photoresist swelling. The final photoresist image is distorted to some degree by the developing process and ultimately this limits the resolution of cyclized rubber photoresist. Figure 5.16a illustrates cyclized rubber photoresist developing in the presence of “good” adhe- sion and figure 5.16b illustrates the effect of developing on resist with “poor” adhesion. Figure 5.16: Effect of adhesion on cyclized rubber photoresist developing. In addition to the base polymer, photo sensitizer and solvent, negative photoresist will commonly contain additives to improve the performance of the resist. For example, negative photoresists can react with nitrogen dioxide and ozone to form NO2, NO3 and NO polar groups that interfere with the developing of the resist. The result is “scumming” and anti-scumming additives may be used to prevent the undesired reactions. 5.2.3. Positive photoresist By 1972 the limitations of negative photoresists had been reached and Diazonaphtho- quinone/novolac (DQN) based positive photoresist had taken over the IC photolithography market. For the next quarter century DQN based photoresists held over 90% market share [6]. a) Unexposed region b) Exposed region a) "Good" adhesion Exposure Exposed regions Exposed regions During develop b) "Poor" adhesion
  • 16. Copyright © 2000 IC Knowledge LLC, all rights reserved 13 The base resin of DQN is novolak resin. Novolak resin is generated by reacting meta-cresol - figure 5.17a, in excess with formaldehyde - figure 5.17b, the result is novolak resin - figure 5.17c. The excess cresol during the reaction results in both ends of the novolac resin being ter- minated by phenol groups, therefore the novolak resin does not cross-link. Figure 5.17: Novolak resin generation. The photosensitive element in DQN photoresists is naphthoquinone diazide sulfonylchlo- ride. Naphthoquinone diazide sulfonylchloride generation begins with hydroxy naphthalene sulfonic acid - figure 5.18a, which undergoes nitration and reduction with sodium dithionite or raney nickel catalyst - figure 5.18b, which is then reacted with HCl and NaNO2 -figure 5.18c, and ClSO3H at 60oC to produce the sensitizer [7]. Figure 5.18: Generation of Naphthoquinone diazide sulfonylchloride [7]. The combination of naphthoquinone diazide sulfonylchloride and novolak resin creates a photosensitive resin - DQN - figure 5.19. OH OH C O+ H acid HCH3 CH3 CH2 a) Meta-cresol (in excess) b) Formaldehyde c) Novolak resin OH OH OHO O SO H3 SO H3 SO H3SO H3 SO Cl2 NO2 NH2 N2 N2 a) Hydroxy naphthalene sulfonic acid b) Reduction by Sodium dithionite or raney nickel catalyst d) ClSO H at 60 C 3 o e) Naphthoquinone diazide sulfonylchloride c) HCl NaNO2
  • 17. 14 Copyright © 2000 IC Knowledge LLC, all rights Figure 5.19: Generation of light sensitive novolak resin - DQN. When exposed to light the naphthoquinone diazide sulfonylchloride undergoes a reaction, see figure 5.20. Figure 5.20: Naphthoquinone diazide sulfonylchloride photochemical reaction. O O + SO Cl2 SO2 N2 N2 a) Naphthoquinone diazide sulfonylchloride OH CH3 CH3 CH2 CH2 b) Novolak resin O O + H O2 N2 + N2 + H2O Hydration Developing NaOH C C ONa O COOH H H H O a) Naphthoquinone diazide sulfonylchloride b) Keto-carbene c) Ketene d) Indene-3- carboxylic acid Light SO Cl2 SO Cl2 SO Cl2 SO Cl2 SO Cl2
  • 18. Copyright © 2000 IC Knowledge LLC, all rights reserved 15 When it is exposed to light naphthoquinone diazide sulfonylchloride undergoes a pho- tolytic decomposition to an unstable keto-carbene and nitrogen - figure 5.20b. The keto-car- bene immediately rearranges to a more stable ketene - figure 5.20c. The ketene structure is very hydroscopic and immediately reacts with water to form carboxylic acid - figure 5.20d. This is the Suss reaction [9] except that Suss believed that the carboxylic acid was indene-1-carboxylic acid which was later shown to be indene-3-carboxylic acid [6]. The carboxylic acid is soluble in basic developers. The absorbance of light versus wavelength for novolak resin and naphthoquinone diazide sulfonylchloride sensitizer is illustrated in figure 5.21. Figure 5.21: Absorbance of novolak resin and naphthoquinone diazide sulfonylchloride sensitizer versus exposing wavelength. Also illustrated in figure 5.21 are arrows corresponding to intensity peaks in the output of a mercury lamp. Mercury lamps were used as the exposing light source during the time period when DQN was the dominant photoresist. At the beginning of the use of DQN, the broad band output of the mercury lamp was used. As exposure systems became more sophisticated the mercury lamp output was filtered to a narrow output band. Initially the 436nm output peak - referred to as the G-line, was the predominant exposure wavelength. As minimum linewidths continued to shrink, the 365nm - I-line wavelength began to be used (the effect of exposure wavelength on resolution will be discussed in detail in section 5.6). Throughout the broad band mercury, G-line and I-line exposure periods, DQN photoresist remained the dominant photore- sist. I-line photoresists were improved formulations of DQN with greater consistency and opti- mized additives. As the photoresist is exposed at G or I-line, the sensitizer provides the principle absorbance of the exposing radiation. As the sensitizer reacts to exposure, the sensitizer is “bleached” and 200 0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 Resin Sensitizer 0.8 0.9 1.0 300 Wavelength (nm) Absorbance 400 500 I-line G-line
  • 19. 16 Copyright © 2000 IC Knowledge LLC, all rights the absorption drops. The bleaching reactions allows relatively thick photoresist films to be used and as the sensitizer “bleaches” the exposing radiation reaches deeper and deeper into the photoresist. During exposure a possible side reaction is the combination of a keto-carbene and a ketene to form a dioxole - figure 5.21. Dioxole formation consumes two reactive specie, but is unlikely to occur due to the short life of the keto-carbene specie and in dried photoresists the restricted movement of molecules [10]. Figure 5.22: Dioxole formation during DQN exposure. The solvents utilized to keep DQN photoresist in solution were typically cellosolve acetate - ethylene glycol monoethyl ether acetate also referred to as EGMEA. Later in the development of DQN photoresists health concerns about EGMEA led to the replacement of EGMEA with PGMEA - propylene glycol monoethyl acetate. The developing reaction for DQN photoresist is fundamentally different from negative photoresists. Where negative photoresist developing is a physical reaction - swelling of the polymer, DQN developing is a chemical etching reaction. The photoresist in the exposed areas is rendered base soluble by exposure. Bases such as sodium hydroxide - NaOH or more commonly tetra methyl ammonium hydroxide - TMAH - (CH3)4NOH, will dissolve the exposed photoresist. In unexposed regions of the photoresist the sensitizer - figure 5.23a, forms an azo couple - figure 5.23c, with the resin - figure 5.23b, resisting the action of the developer solution. An unfavorable reaction that can occur during developing is the reaction of the unexposed naph- thoquinone diazide sulfonylchloride - figure 5.24a, with carboxylic acid - figure 5.24b, to form azo dye - figure 5.24b. Azo dye formation is unfavorable unless the photoresist is underex- posed in which case azo dye can cause scumming during develop. A number of additives are utilized in commercial DQN photoresist formulations. Dyes are added to mask discoloration of the resist during storage, surfactants are used to improve coating uniformity, and a variety of additives are used to improve adhesion, heat resistance, dry etch resistance, photospeed, and developing. O O O C + C O a) Keto-carbene c) Dioxole b) Ketene SO Cl2 SO Cl2 SO Cl2 SO Cl2
  • 20. Copyright © 2000 IC Knowledge LLC, all rights reserved 17 Figure 5.23: Unexposed area of DNQ photoresist during developing. Figure 5.24: Azo dye formation during develop. 5.2.4. 248nm photoresist In order to continue shrinking minimum linewidths, the industry continued to explore the use of shorter exposure wavelengths (see section 5.6 for a discussion of the effect of exposure wavelength on minimum linewidths). The first production worthy tool capable of “deep ultravi- olet - DUV” (140 to 300nm wavelength) exposure was the Perkin Elmer 500 Micrascan௡ sys- tem [6]. The Perkin Elmer 500 utilized a mercury arc lamp with very low output in the DUV region. Ideally photoresist for DUV would have much higher sensitivity than the “standard” DQN photoresist in order to support short exposure times and therefore high exposure system productivity. Another issue with DQN photoresist for DUV is the high absorbance of the novolak resin in the DUV region - see figure 5.21. O O O O O O OH OHHO HO +SO2 SO2 N2 N N a) Sensitizer in unexposed area NaOH developer b) Resin c) Azo coupled resin and sensitizer C C OH OH CH2 CH2 O OH N2 NN + COOH COOH H H H H a) Naphthoquinone diazide sulfonylchloride b) Indene-3- carboxylic acid c) Azo dye SO Cl2 SO Cl2 SO Cl2 SO Cl2
  • 21. 18 Copyright © 2000 IC Knowledge LLC, all rights In 1978, Jean Frechet and Grant Willson set out to design a chemically amplified photore- sist with much higher sensitivity than existing photoresists [6]. In a chemically amplified pho- toresist system a catalytic specie generated by exposure causes a cascade of subsequent chemical reactions to occur. Frechet and Willson were joined by Hiroshi Ito and imaging sys- tems were produced with two orders of magnitude greater sensitivity than DQN photoresists. The original systems lacked sufficient resistance to subsequent processing but fairly quickly the team developed a practical system based on the poly(p-hydroxystyrene) polymer - PHOST [6] - see figure 5.25a. Figure 5.25: PHOST and PBOCST polymers [6]. They found that the t-butylcarbonate protected monomer PBOCST - see figure 5.25b, was more stable than unprotected p-hydroxystyrene [6]. They further found that the protected poly- mer could be unprotected by acid treatment. The combination of poly(4-t-butoxycarbonloxy- styrene) - PBOCST with a photoacid generator yielded a practical photoresist that could produce a positive or negative tone image just by changing the developer. The resulting photo- resist is referred to as tBOC. tBOC photoresists have two components: • Acid generator - an onium salt cationic photoinitiator which upon exposure to light gener- ates an acid by (5.11) - see figure 5.26. • Protected polystyrene - PBHOST The exposure deprotection reaction of tBOC is illustrated in figure 5.27 In figure 5.27 the reaction of the protected polymer PBOCST with an acid - figure 5.27a, produces a deprotected polymer PHOST - figure 5.27b and additional acid - figure 5.27c. The generation of acid during the deprotection reaction allows a single photo event to catalyze the deprotection of multiple PBOCST molecules. Partially protected PHOST forms the base resin for all modern advanced positive photoresists [23]. The tBOC photoresist can produce a positive image if a polar solvent such as alcohol or an aqueous base is used for developing and produce a negative image if a non polar solvent such as anisole is used. CH2CH )n( OH (CH2CH) CH3 CH3CH3 O b) PBOCSTa) PHOST O O C C Ar3S - AsF6 - hν AR2S Ar R HAsF6+⋅+⋅+→+
  • 22. Copyright © 2000 IC Knowledge LLC, all rights reserved 19 Figure 5.26: Onium salt photoacid generators [24]. Figure 5.27: tBOC photoresist deprotection mechanism [23]. Following exposure a post exposure bake (PEB) is required to aide in the diffusion of the acid and to drive the catalytic reaction. A problem occurs with chemically amplified photore- sists when the photoresist is exposed to amines between the exposure and PEB steps. The amines can neutralize the acids in the surface of the photoresist and lead to overhangs or T tops on the photoresist lines. Improved photoresist formulations and filtration to remove amines to <1ppb are effective in eliminating T-topping (amine levels of >10ppb are not uncommon in IC fabrication facilities. Figure 5.28 illustrates the absorbance of PHOST, PBOCST and an onium salt PAG versus wavelength. It can be seen that the absorbance of PBOCST and PHOST at 248nms is acceptably low for use as a base polymer. a) Diazonium salts b) Diphenyliodonium salts c) TriphenylSulfonium salts d) Tetraphenylphosphonium salts N PF2 6 IAsF6 SSbF6 PbF6 2 3 4 (CH2CH) CO2 (CH2CH) CH3 CH3 CH2 CH3 CH3 CH3 CH3 CH3 O OH + + a) Lipophilic PBOCST b) Hydrophilic PHOST c) Regeneration of acid O O H C3 C C C C H + H + +
  • 23. 20 Copyright © 2000 IC Knowledge LLC, all rights Figure 5.28: Absorption spectra for polyhdroxy styrene photoresist components [24]. 5.2.5. 193nm photoresist At the time that this book is being written, 248nms is the mainstream wavelength for criti- cal exposures at the current state-of-the-art minimum linewidth (180nms). In order to continue to shrink minimum linewidths, 193nm exposure wavelengths are being investigated for 130nm and smaller minimum linewidth production. From figure 5.28 it can be seen that the absorbance of PBOCST and PHOST below 248nms is unacceptably high, therefore 193nm photoresist will once again require a new base polymer - see figure 5.29. The best 193nm polymers available today include [25]: • Acrylic polymers (acrylate based platforms with attached alicyclic structures). Acrylics provide high resolution but relatively poor etch resistance. The incorporation of cycloaliphatic side groups in the polymer backbone reduces the etch rate. • Cyclic olefins provide intrinsically good etch resistance. A nonbornene copolymer with a transition metal catalyst developed by BF Goodrich and IBM demonstrated sub-100nm res- olution in 1999 [25]. Issues with PAG selection and photoresist outgassing also remain. 5.2.6. 157nm photoresist The formulation of photoresist for 157nm exposure wavelengths is still in the very early stages. A Curtin, et.al. at MIT have found that 157nm absorbance is dominated by C (2p) elec- trons and that the bonding configuration is expected to greatly influence the absorption [26]. Acrylic, Phenolic, and Cyclo-olefin based photoresists can all achieve acceptable optical trans- mission at 157nms if the photoresist thickness is <90nms, however, industry experience sug- gests that defect density levels will be unacceptably high at such a thin photoresist thickness 200 0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 PHOST Ph l2 AsF6 PBOCST 0.8 0.9 1.0 300 Wavelength (nm) Absorbance 400 248nm - +
  • 24. Copyright © 2000 IC Knowledge LLC, all rights reserved 21 (industry experience to-date is that the defect density increase exponentially as the photoresist thickness is reduced [26] - see figure 5.30). Figure 5.29: Absorbance of PHOST versus polyacrylate [27]. Figure 5.30: Defect density versus photoresist thickness [26]. 5.2.7. Photoresist summary Table 5.3 summaries the properties of the photoresist presented in the preceding section 175 0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 p-hydroxystyrene Polyacrylate 0.8 0.9 1.0 200 300 Wavelength (nm) Absorbance 400 193nm Photoresist thickness (nm) 10 1997 SIA roadmap Kunz (1992) [31] Early (1992) [28] Muller (1992) [29] Kuan (1988) [30] 0.001 0.01 0.1 1.0 10 100 Defectdensity/cm 2 1,000 100 1,000
  • 25. 22 Copyright © 2000 IC Knowledge LLC, all rights 5.2.8. Photoresist critical parameters There are a number of critical parameters that may be used to define the performance of a photoresist. 5.2.8.1. Dose to clear (E0) If film thickness versus the natural log of exposure dose is plotted for positive photoresist following develop, the dose to clear is the exposure dose where the film thickness remaining reaches zero - see figure 5.31. Table 5.3: Photoresist summary. Characteristic bis-arylazide - polyisoprene DQN tBoc Type Negative Positive Negative or Positive Exposure wavelength (nm) 350-450 365, 436 248 Usable resolution (nm) ~2,000 ~250 50? Cost ($/gal) ~$100 $300-$800 ~$2,000 Special sensitivities Oxygen during exposure None Amines between exposure and PEB Humidly comments High humidity causes loss of adhesion - 30 - 40% RH ideal Humidity required during develop - 35 - 45% RH ideal Adhesion Excellent Good Good Plasma etch and implant compatibility Excellent Good Good to fair Developing reaction Solvent develop swells polymer Aqueous develop dissolves resist without swelling Aqueous or sol- vent develop dis- solves resist without swelling. Wet strip Sulfonic acid strip- pers that form acid with water and are hard to dispose of NMP or aqueous based stripper with reduced moisture sensitivity and eas- ier disposal.
  • 26. Copyright © 2000 IC Knowledge LLC, all rights reserved 23 Figure 5.31: Dose to clear. Dose to clear is a measure of the sensitivity of the photoresist. The lower the dose to clear the more sensitive the photoresist is for a given thickness and exposing wavelength. More sen- sitive photoresist are advantageous for high productivity of exposure equipment - high sensitiv- ity photoresist expose more quickly for a given exposure source intensity. Dose to clear is dependent on the thickness of photoresist being exposed due to interference effects (see section 5.6.9). A plot of dose to clear versus film thickness is called a swing curve - see figure 5.32. Figure 5.32: Dose to clear swing curve. 0.0 0.2 E0 ␥ = dt/t0 ⌬ln(dose) t (dark erosion)l 0.4 Normalizedfilmthickness(t/t)0 Ln exposure dose (mJ/cm ) 2 0.6 0.8 1.0 0.90 1.00 1.10 1.20 1.30 Photoresist Thickness ( m)␮ DosetoClearE(mJ/cm)o 2 100 120 140 160 180 200
  • 27. 24 Copyright © 2000 IC Knowledge LLC, all rights 5.2.8.2. Gamma (␥) Gamma is the slope of the thickness remaining curve between the unexposed region of the photoresist and E0 - see figure 5.31. Gamma is a measure of contrast, i.e., the difference in exposure required between the exposed and unexposed regions to produce an image. Higher ␥ results in sharper images. 5.2.8.3. Thickness loss (tl) Thickness loss is the change in photoresist thickness following develop in the unexposed regions of the photoresist - see figure 5.31. 5.2.8.4. Glass transition temperature (Tg) § Glass transition temperature (Tg) - the point at which an amorphous polymer undergoes a transition from a brittle glassy state to a flexible rubbery state. Below Tg the polymer is glassy and brittle, and above Tg the polymer is flexible and rubbery. Higher Tg materials show increased resistance to flow and pattern distortion during bakes, but have poorer mechanical performance. Crosslinking increases the stiffness of a polymer above Tg. Following developing it is desirable to crosslink the remaining film to increase the resistance to flow and pattern dis- tortion. 5.2.8.5. Viscosity Viscosity is a measure of the resistance to flow of the photoresist. All other things being equal, higher viscosities will result in thicker photoresist during coating (see the section on coating). The viscosity of the photoresist must be properly selected for the desired film thick- ness after coating. Alternately, solid content may be used to characterize the films resistance to flow. 5.2.8.6. Dill parameters For DNQ photoresist, Dill parameters describe the difference in photoresist absorption ver- sus wavelength during exposure [32]. Dill A is the absorption of the resist prior to any bleach- ing. Dill B is the residual absorption of the resist after bleaching. Dill C is the rate of absorption change or bleaching. 5.3. Surface preparation Photoresist adhesion to typical wafer surfaces such as SiO2 and metals is critical to faith- fully replicating the photoresist pattern in etching and ion implantation processes. SiO2 and metals are considered high-energy surfaces with surface free energies >103dynes/cm, photore- sists are solutions with low surface energy, <36 dynes/cm for typical photoresists. Photoresists may therefore be expected to spontaneously wet SiO2 and metal surfaces which might also be expected to result in good adhesion. There are however several factors which can interfere with photoresist adhesion: 1. If the base solvent has a higher degree of interaction with the wafer surface than the photo- resist base resin, the solvent can push the resin away from the wafer surface. Later during
  • 28. Copyright © 2000 IC Knowledge LLC, all rights reserved 25 soft bake the solvent may evaporate, but the resin may be constrained by the photoresist film from filling the resulting gaps. This issue is addressed by good photoresist formula- tions. 2. The resin-resin interaction can be stronger than the resin-substrate interface interaction, par- ticularly if the base solvent is badly matched to the resin. The resin will then form tight coils to minimize it’s energy, lowering it’s interaction with the substrate (␦ of the solvent must be close to ␦ of the resin). The intrinsic interaction of the resin with the wafer surface is however the most important factor in adhesion and once again this factor is addressed during photoresist formulation. 3. Surface contamination - particularly moisture. Water has a very high affinity for SiO2 - see table 5.4. If we consider photoresist to have an interaction with SiO2, on the order of the solvents listed in table 5.4, it can be seen that moisture has a much stronger interaction. Moisture interaction with SiO2 is a particular problem because SiO2 readily becomes hydrated from humidity. Surface moisture may take the form of adsorbed surface moisture or chemically bonded OH - Silanol groups. Surface moisture may be reduced by a bake at >100oC, but silanol groups require a much higher temperature bake - too high to be practical immediately before photore- sist coating. In order to minimize moisture effects on photoresist adhesion, a variety of surface pre- treatment techniques are used. The most common is the application of Hexamethyldisilazane (HMDS) - see figure 5.33. The hydrated wafer surface - figure 5.33a, reacts with HMDS to remove adsorbed moisture and the reaction releases NH3 - figure 5.33b. The wafer surface is now terminated with silanol which further reacts with HMDS - figure 5.33c to release more NH3 leaving a low surface ten- sion - low moisture surface. The critical surface tension of SiO2 at 50% RH is 78 dynes/cm prior to treatment by HMDS, and 21 dynes/cm after treatment, the absorbed surface moisture prior to treatment is >35 H2O molecules/␮m2 and after treatment is < 1 molecule of H2O/␮m2 [15]. Furthermore, the treated surface has good photoresist adhesion and forms an interface that is water repellent. Table 5.4: Polar interaction of SiO2 with selected liquids. Liquid Polar Interaction (dynes/cm) Heptane 0 Benzene 20 Acetone 58 n Propanol 84 Water 368
  • 29. 26 Copyright © 2000 IC Knowledge LLC, all rights Figure 5.33: HMDS adhesion promotion [15]. When negative photoresist was still widely used it was not unusual to see HMDS spun onto a wafer surface in a dilute HMDS - xylene mixture just prior to photoresist coating. The HMDS would be dispensed, allowed to briefly react with the wafer surface and then spun off. Spinning on HMDS was only partially effective for adhesion promotion, consumed a great deal of HMDS -xylene solution and produced a great deal of HMDS - xylene waste. By the time posi- tive photoresist was becoming popular, HMDS dispense largely switched over to a vacuum bake environment - see figure 5.34. HMDS vapor prime systems may be batch systems or single wafer systems as illustrated in figure 5.34. The operation of the single wafer system is as follows: 1. The wafer input port is a door into the chamber that opens to allow a wafer to be inserted onto the wafer chuck. Once the wafer is inserted the port is closed. 2. The vacuum pump and valve allow the chamber to be pumped down to a vacuum in the 2 - 20 torr range. 3. A heated wafer chuck is used to heat the wafer to 100 to 150oC. 4. While the chamber is under vacuum the valve to the HMDS reservoir is opened and the vacuum draws off HMDS vapor priming the wafer. SiSi Si Si SiSi Si Si SiSi Si Si SiSi Si Si OO O O OO O O OO O O OO O O OO O O OO O O H O2 H O2 H O2 a) HMDS + hydrated SiO surface2 c) HMDS + surface OH (silanol) d) Lower surface tension - lower H O surface2 b) Adsorbed moisture removal (CH ) SiNSi(CH )3 3 3 3 (CH ) SiNSi(CH )3 3 3 3 NH3 OSi(CH )3 3 OSi(CH )3 3 OSi(CH )3 3 OHOH OH OHOH OH OHOH OH (CH ) SiOSi(CH )3 3 3 3 + NH3
  • 30. Copyright © 2000 IC Knowledge LLC, all rights reserved 27 5. Following prime the chamber is pumped back down to remove the residual HMDS and flushed with nitrogen. 6. The wafer output port opens and the wafer is withdrawn. Proper vapor priming results in a contact angle of >60o (see chapter 3 for a discussion of contact angle). Vacuum bake ovens produce essentially no HMDS waste and consume only a miniscule quantity of HMDS. Figure 5.34: HMDS Vapor prime system. 5.4. Coating Although there are several methods by which photoresist can be coated onto a wafer, for IC fabrication the use of spin coating is virtually universal. In the spin coating process a controlled volume of photoresist is dispensed onto a wafer and then the wafer is spun at high speed to pro- duce a uniform, partially dried photoresist film. The basic coating process is illustrated in fig- ure 5.35. Figure 5.35: Photoresist spin-coating process [33]. Wafer input port Vacuum pump N feed2 Wafer Heater Wafer chuck Wafer output port ValveValve Valve HMDS Reservoir a) Dispense a controlled amount of photoresist b) Allow the Photoresist to spread across the wafer c) Rapidly ramp - up the coater spin speed throwing off excess Photoresist d) Spin at high speed to form a thin dry film of Photoresist
  • 31. 28 Copyright © 2000 IC Knowledge LLC, all rights The photoresist coating process begins by dispensing a controlled volume of photoresist onto the center of the wafer - figure 5.35a. The initial dispense may be done with the wafer static or rotating at a few hundred RPMs. The volume of photoresist dispensed depends on the wafer size but is typically 2 to 10ml. The photoresist is allowed to spread through either a static step or with the wafer rotating at a few hundred RPMs - figure 5.35b. The wafer is them rapidly ramped up to a high spin speed, typically 1,000 to 10,000 RPMs - figure 5.35c. As the wafer is rapidly spun a wave forms in the photoresist within a few RPMs, the wave them forms a “crown-like” structure after approximately 30 RPMs [34]. The crown-like stage indicates the bulk of the photoresist supporting the wave has run-out. Following the formation of the crown- like phase the remaining photoresist is being “scrubbed off” of the wafer generally in the form of a spiral [34]. During the spiral phase thousands of tiny photoresist droplets are propelled off of the edge of the wafer. The spin coater bowl must be properly designed or the droplets of photoresist can splash back onto the wafer causing a non-uniform coat. Finally the photoresist is spun further to dry the photoresist by solvent evaporation. The evaporation rate of solvents during coating is dependent on the photoresist formulation and also on the air flow over the wafer during coating. Coater exhaust must be carefully tuned and controlled to achieve uniform and repeatable photoresist films. The mathematics of photoresist coating must take into account the multi-component nature of photoresist. The solvents used to keep the photoresist in suspension have relatively high evaporation rate - see table 5.5 During coating the solvent fraction of the photoresist is decreas- ing and therefore the viscosity of the photoresist is increasing. a evaporation rates are relative to butyl acetate. Photoresist coating models of varying complexity have been proposed by several research- ers. Sukanek [35],[36] has developed a model of photoresist spin coating taking into account the changes in photoresist properties during coating. The photoresist is assumed to consist of two components, a non volatile and a volatile com- ponent. Mass balances on a cylindrical control volume on a wafer disc give Table 5.5: Evaporation rate and solvents for photoresist [39]. Photoresist Solvent Evaporation ratea Boiling point (o C) Polybutene SO2 Methyl 2-ethoxyethyl acetate 31 145 DQN Cellosolve acetate Butyl acetate Diglyme 20 100 18 156 126 162 PMMA Chlorobenzene 102 126 Rubber azide Xylene 62 140
  • 32. Copyright © 2000 IC Knowledge LLC, all rights reserved 29 (5.12) (5.13) where, CV is the concentration of the volatile component and CN is the concentration of the non-volatile component, r is the radius, e is the evaporation rate of the volatile component, h is the film height and q is the flow rate. The flow rate is given by (5.14) where, ⍀ is the spin speed and ␯ is the viscosity. The evaporation rate is given by (5.15) where k is the mass transfer coefficient, CVS is the concentration of the volatile component at the surface. If we assume that (5.16) then (5.17) and if we introduce the distribution or equilibrium constant K, then (5.18) For laminar air, i.e., the reynolds number is <2 x 105 (5.19) where a is a constant. Then combing 5.12, 5.13, 5.14, 5.18 and 5.19 and rearranging give the dimensionless forms (5.20) and (5.21) t∂ ∂ CNh( ) 1 r --- r∂ ∂ rCNq( )–= t∂ ∂ CVh( ) 1 r --- r∂ ∂ rCVq( )– e–= q rΩ 2 h 3 3ν( )⁄= e k(CVS CV∞ )–= CV∞ 0→ e kCVS= e KkCVS= k aΩ 1/2 = y∂ τ∂ ----- 2 3 --- y 3 ν ν 0 ⁄ ------------ αx––= x∂ τ∂ ----- αx x 1–( ) y⁄=
  • 33. 30 Copyright © 2000 IC Knowledge LLC, all rights and the dimensionless parameters are defined as (5.22) (5.23) (5.24) (5.25) The kinematic viscosity is of the form used by Meyerhofer [37] (5.26) For sufficiently large values of t, the preceding equations provide a solution which is inde- pendent of time. From equation 5.22 the final film thickness is given by (5.27) From equation 5.27 it can be seen that the film thickness is inversely proportional to the spin speed. Studies of spin coated films have shown that film thickness is [38]: • Independent of coating volume. • Independent of spin sped during dispense. • Independent of acceleration rate from dispense speed to final spin speed. • Depends on final spin speed - as expected from equation 5.27 - see figure 5.36. • Depends on viscosity - as expected from equation 5.27 - see figure 5.36. • Depends on time up until 5 - 10 seconds when the final film thickness value saturates - see figure 5.37. Practically speaking consistent coating thickness requires very careful control of the envi- ronment that the photoresist sees during dispense and spinning. For sub-micron linewidth pro- duction the photoresist temperature should be controlled at the photoresist storage chamber and right up to the photoresist dispensing nozzle. The temperature and humidity of air over the coater bowel should be controlled to + or - 1oF and + or - 1% respectively. As previously noted the exhaust must be well controlled to insure consistent solvent evaporation rates. The coater spin motor RPMs are typically quartz crystal controlled and accurate to <1%. As previously mentioned the volume of photoresist dispensed is 2 to 10mls. With the advent of DUV photoresist with costs of approximately $2,000/gal versus $500 to $800/gal for i-line or g-line photoresist, the minimization of dispense volume has taken on increasing impor- tance. The technique of “pre-wetting” the wafer with the photoresist solvent to aide in photore- sist spreading has become common. With pre-wetting 2 to 4ml dispense volumes may be used to coat a 200mm wafer. y hΩ 1/2 a 1/2 ν0 1/2 ( )⁄≡ x CV e⁄≡ τ tΩa ν0 1/2 ⁄≡ α ν0 1/4 K a 1/2 ⁄≡ ν ν0⁄ νS 1 νS–( ) 1 x– 1 x0– -------------- ⎝ ⎠ ⎛ ⎞n += hf yfa 1/2 ν0 1/4 Ω 1/2 ⁄=
  • 34. Copyright © 2000 IC Knowledge LLC, all rights reserved 31 Figure 5.36: Film thickness versus final spin speed and viscosity [40]. Figure 5.37: Film thickness versus time [40]. 0.5 1 2 Final spin speed (rpm x 10 ) 3 Finalfilmthickness(m)␮ 3 4 5 6 7 0.6 0.7 0.8 0.9 1.0 2.0 3.0 4.0 5.0 6.0 23cP 43cP 1100cP 2040cP 1.4 1.3 1.2 1.1 Filmthickness(m)␮ Spin time (sec) 1.0 0.9 0.8 0.7 0.6 0 2 4 6 8 10 Final spin speed = 3,000 RPM Final spin speed = 6,000 RPM 12 14 16 18 20
  • 35. 32 Copyright © 2000 IC Knowledge LLC, all rights 5.4.0.1. Edge bead removal When a wafer is spin coated, surface tension causes the thickness of photoresist dispensed to build up at the wafer edge - see figure 5.38. Figure 5.38: Photoresist thickness versus distance from the wafer edge [41]. The thicker photoresist at the wafer edge may not be fully exposed during exposure and therefore will not develop completely. During subsequent processing the “edge bead” can flake off creating defects. In order to prevent defects the edge bead is typically removed. there are two common methods for edge bead removal: 1. During coating a solvent may be dispensed onto the outer-edge of the wafer dissolving the edge-bead. This is a common method for greater than approximately 0.5␮m linewidths. 2. Below 0.5␮m linewidths optical edge bead removal is employed to allow improved control over how far in from the edge the photoresist is removed (the loss of photoresist at the edge eliminates the ability to produce ICs near the edge). In optical edge bead removal the wafer is exposed to an intense light source that is masked to only expose the wafer edge. The edge bead is fully exposed and develops away during the normal develop process. Irrespective of edge bead removal technique, the wafer backside is typically washed with a solvent to remove any photoresist that may accumulate on the backside during coating. 5.5. Soft bake Following coating photoresist solvent levels have been reduced from 65-85% to 10-20%. The photoresist still contains too much solvent for a sufficient difference to exist in developing rates between the exposed and unexposed portion of the photoresist. In order to reduce the residual solvent level in the photoresist, a soft bake step is employed. Soft baking has addi- tional benefits: • Reduces photoresist free volume reducing subsequent diffusion lengths for DUV resist improving resolution. • Anneals out residual stresses in the as-coated photoresist and improves adhesion. 0 0 0.5 1.0 1.5 2.0 2.5 Photoresistthickness(m)␮ 3.0 50 100 150 Distance from the edge ( m)␮ 200 250
  • 36. Copyright © 2000 IC Knowledge LLC, all rights reserved 33 • Stabilizes the film and reduces the tackiness of the surface. • Reduces residual solvent levels improving the difference in developing rates between the exposed and unexposed portions of the photoresist. Figure 5.39 illustrates the effect of soft baking on developing rates of DQN photoresist in 0.25N KOH developer after a 30 minute soft bake. Figure 5.39: Photoresist developing rate versus soft bake temperature [43]. Ideally soft bake would be performed above the Tg of the photoresist to minimize photore- sist free volume and removal of the residual solvent. Figure 5.40 illustrates the effect of increasing bake temperature on a photoresist. As the temperature is increased the photoresist first loses weight by solvent evaporation, eventually at a high enough temperature the photore- sist begins to break down. Figure 5.40: Thermal gravimetric analysis of un-baked spun on photoresist [42]. 0 40 60 Highresidualsolvent Solvent removal DQN converted to ICA Compaction, crosslinking, DQN decomposition 80 Novolak resin DNQ resist 100 120 140 160 1 2 3 4 5 6 logR Bake temperature ( C) o 20 40 60 80 Solvent loss Start decomposition100 %originalsampleweight Temperature
  • 37. 34 Copyright © 2000 IC Knowledge LLC, all rights Ideally a soft bake would achieve a photoresist with only a few% of solvent, however this requires that the solvent boiling point be approached which is approximately 140o C. For DQN photoresist decomposes at approximately 100 - 120oC. Practically speaking the maximum soft bake temperature of a photoresist is typically set by the temperature at which the sensitizer begins to break down and baking below Tg is required. Table 5.6 presents the Tg and Td (tem- perature of decomposition) for several common photoresist components. The Tg of a photoresist may vary from the polymer due to the photoresist free volume. Also for DUV photoresist PBOCST has a lower Tg than PHOST. For DUV photoresists soft bake control is critical to control acid diffusion. The DUV softbake must be tailored to the properties of the photoresist and the temperature must be controlled to a fraction of a o C whereas 1o C con- trol is adequate for DQN photoresist. Similar to the situation for coating, the mathematics of soft baking require consideration of a volatile and a non-volatile component. Consider a film of initial thickness Lfi and with a sol- vent free thickness of Lp. As soft baking proceeds the film gets thinner as the solvent diffuses to the photoresist-gas interface and then evaporates. A mathematical model of soft baking has been proposed by Tseng, et.al., [44]. The variation in photoresist density during baking is given by (5.28) where, xA is the mass fraction of the solvent in the film, t is time, z is distance from the bottom of the film, D is diffusivity of the solvent and T is the absolute temperature. The initial conditions for equation 5.28 are (5.29) where, xA0 is the initial mass fraction of solvent in the film. The boundary conditions are (5.30) Table 5.6: Tg and Td of common photoresist components [39]. Component Tg (oC) Td (oC) Rubber 54 200 Azide 100 Novolak 70-120 150-300 Diazoquinone 100 PMMA 105 200 Polybutene SO2 65 125 xA∂ t∂ -------- z∂ ∂ D xA T,( ) xA∂ z∂ -------- ⎝ ⎠ ⎛ ⎞= x xA0, t 0= = xA∂ z∂ -------- 0, z 0= =
  • 38. Copyright © 2000 IC Knowledge LLC, all rights reserved 35 (5.31) where, km is the mass transfer coefficient of the solvent. Equation 5.30 indicates that the base material under the photoresist is impermeable to the solvent. A mass balance on the solvent near the photoresist-gas interface yields [46] (5.32) where, W is the mass, V is the volume, and ␳0 is the initial density of the film and ␳S is the den- sity of the solvent. As the soft bake proceeds the film becomes more dense and the diffusivity of the solvent is reduced. From Fujita, et.al., the diffusivity of the solvent is given by [47] (5.33) where, ␣ and ␤ are constants that need to be determined experimentally. The value of D is illustrated in figure 5.41 for D0 = 2.74 x 10-12 cm2 /s, ␣ = 0.040, and ␤ = 0.043 [45]. Figure 5.41: Solvent diffusivity versus solvent content [45]. Substituting equation 5.33 into equation 5.28 and rewriting equations 5.29 through 5.32 in scaled form, results in (5.34) D xA∂ z∂ --------– kmxA, z Lf t( )= = dW dt --------– ρ– SA dLf t( ) dt --------------- ρ– 0DA xA∂ z∂ -------- z Lf t( )= = = D D0 T( ) xA α βxA+ -------------------exp= 0.0 10-12 10-11 10-10 10-9 10-8 10-7 10-6 0.2 0.4 0.6 0.8 1.0 Mass fraction of solvent Diffusivity(cm/sec) 2 xA∂ τ∂ -------- xA α βxA+ ------------------- α α βxA+( ) 2 -------------------------- xA∂ z∗∂ -------- ⎝ ⎠ ⎛ ⎞ 2 2 xA∂ z∗2 ∂ ------------+exp=
  • 39. 36 Copyright © 2000 IC Knowledge LLC, all rights (5.35) (5.36) (5.37) (5.38) where, ␶ = D0t/L2 fi, z* = z/Lfi, and L*f = Lf/Lfi. The preceding moving boundary problem has been solved numerically and the result for a DQN photoresist is illustrated in figure 5.42 [44]. Figure 5.42: Film thickness loss during softbake - m-p cresol novolak photoresist [44]. Photoresist soft baking may be accomplished in a convection oven or more commonly using a hot plate mounted onto the coating track equipment. Immediately following coat the wafer is transferred to a hot plat for a 45 - 60 second bake. Ovens hold larger batches of wafer but require ~30 minutes to complete a bake. 5.6. Optical principles The dominant method for exposing patterns into photoresist currently in use, is optical exposure. In order to understand optical exposure and optical exposure systems, it is necessary to have a basic understanding of some optical principles. xA xA0, τ 0= = xA∂ z∗∂ -------- 0, z∗ 0= = xA α βxA+ ------------------- xA∂ z∗∂ --------exp– km D0 Lfi⁄ -----------------xA, z∗ L∗f τ( )= = Lf τ( )d dτ --------------- ρ0 ρS ----- xA α βxA+ ------------------- xA∂ z∗∂ -------- z∗ L∗f= , z∗exp L∗f τ( )= = 2.0 0 10 20 70 C o 90 C o 100 C o 30 40 50 60 70 2.1 2.2 2.3 2.4 2.5 2.6 Photoresistthickness(m)␮ Soft bake time (sec)
  • 40. Copyright © 2000 IC Knowledge LLC, all rights reserved 37 5.6.1. Huygens’ principle Consider the wavefront A - B moving through space in figure 5.43. According to Huygens’ principle, the next successive wavefront - C - D may be constructed by considering every point on wavefront A - B to be a secondary point source of waves. After travelling out from the sec- ondary point sources for a period of time, each secondary “wavelet” will be a spherical wave- front centered around the secondary point sources. The surface made up of the tangent of all of the secondary wavelets will be the new wavefront - C - D. Figure 5.43: Huygens’ principle. 5.6.2. Reflection If a ray of light is incident on a reflective surface, the light ray will be reflected off the sur- face at some angle. • Law of reflection - the angle of incidence is equal to the angle of reflection. Figure 5.44a illustrates the law of reflection and figure 5.44b illustrates the reflection of a plane wavefront. Figure 5.44: Law of reflection. If a curved reflecting surface is constructed, a reflective lens results. Reflective lens are referred to as Catoptric. The characteristics of a mirror lens are given by the mirror equation (5.39) A B C D A a) Law of reflection b) Plane wavefront reflection B C D ␣1 ␣1 2= ␣ ⍜1 ⍜1 2= ⍜ ⍜2 ␣2 1 p --- 1 q ---+ 2 R ---=
  • 41. 38 Copyright © 2000 IC Knowledge LLC, all rights where, p is the object distance, q is the image distance and R is the radius of the mirror - see fig- ure 5.45a. Alternately the lens equation may be expressed as (5.40) where, f is the focal length of the lens - see figure 5.45b. Figure 5.45: Reflective lens calculations. 5.6.3. Focal length The focal length is defined as: • Focal length - the distance from a lens where an incident set of parallel rays exiting the lens converge. 5.6.4. Refraction Optically transparent mediums have an intrinsic property - index of refraction defined as: • Index of refraction - the ratio between the speed of light in a vacuum and the speed of light in a given medium. Refractive index depends on the material of interest, temperature, pressure and also on the wavelength of radiation passing through the material. The change of refractive index of a mate- rial versus wavelength is referred to as dispersion. Figure 5.46 illustrates the index of refraction versus wavelength for two materials used in lens systems for photolithography exposure tools. Fused silica has been widely used for many years and calcium fluoride (CaF2) is a material which is beginning to see use in the latest generation tools. When a light ray transits from a material of one index of refraction to a material of another index of refraction, the light ray is bent, i.e. refracted. The degree of bending which results may be calculated by Snell’s law (5.41) 1 p --- 1 q ---+ 1 f ---= Object a) Mirror calculations b) Focal length p q f Image n1 Θ1sin n2 Θ2sin=
  • 42. Copyright © 2000 IC Knowledge LLC, all rights reserved 39 where, n1 is the index of refraction of the first medium, n2 is the index of refraction of the sec- ond medium, ⍜1 is the angle of the ray entering the interface and ⍜2 is the angle of the ray leaving the interface - see figure 5.47. Figure 5.46: Index of refraction for fused silica and calcium fluoride versus wavelength [11],[12]. Figure 5.47: Refraction. It should be noted here that since the change in angle for a light ray transitioning between two media depends on the index of refraction of each media respectively, the change in angle is wavelength dependent. The practical effect of this will be discussed in the section on lens aber- ations. For a refractive lens, the characteristics of the lens are given by the lens makers formula 1.42 100 Wavelength (nm) Indexofrefraction 1,000 1.44 1.46 1.48 1.50 1.52 CaF2[12] SiO2 [11] 1.54 1.56 1.58 n1 n2 ⍜1 ⍜2
  • 43. 40 Copyright © 2000 IC Knowledge LLC, all rights (5.42) where, n1 is the refractive index of the first medium, n2 is the refractive index of the lens mate- rial, p is object distance, q is the image distance, R1 is the radius of the first lens surface and R2 is the radius of the second lens surface - see figure 5.48a. Refractive lens are referred to as Dioptic. In figure 5.48b, the focal length for a refractive lens is illustrated and is given by (5.43) Figure 5.48: Refractive lens calculations. Refractive lens can be fabricated in a variety of different forms, if both lens surfaces are convex, the lens is a double-convex lens - figure 5.49a, if both surfaces are concave, the lens is double-concave - figure 5.49b. Lens may also have one convex and one concave surface referred to as a concave-convex lens or one convex surface with one plane surface, referred to as a plano-convex. Figure 5.49: Refractive lens. n1 p ----- n2 q -----+ n2 n1–( ) 1 R1 ------ 1 R2 ------+ ⎝ ⎠ ⎛ ⎞= f n1 n2–( ) 1 R1 ------ 1 R2 ------+ ⎝ ⎠ ⎛ ⎞ -1 = Object p q Image f a) Refractive lens calculations b) Focal length a) Double convex b) Double concave
  • 44. Copyright © 2000 IC Knowledge LLC, all rights reserved 41 In figure 5.49a the double-convex lens is shown converging the light rays and in figure 5.49b the double concave lens is shown diverging the light rays. As a rule, lens that are thicker in the center of the lens than at the edges are convergent and lens that are thinner in the center than at the edges are divergent. 5.6.5. Magnification Magnifications for lens systems can be defined in terms of the object and the image as: • Magnification - the image size divided by the object size. 5.6.6. Numerical aperture Numerical aperture is a measure of the “acceptance” angle of a lens and is defined as (5.44) where, ␣ is the acceptance angle - see figure 5.50, n is the index of refraction of the background - typically 1, d is the lens diameter and f is the lens focal length. Figure 5.50: Numerical aperture. Assuming n equals 1 - such as for air, then the maximum NA is 1 since ␣ cannot exceed 90o . For practical lens design, as d increases it is increasingly difficult to achieve high NA. Microscope objectives a few mms in diameter may achieve NAs of 0.9 or even 0.95, but NAs of 0.7 are high for modern exposure tools with field sizes of tens of mms. The limitations of NA impacts the achievable resolution of an exposure system as will be seen in the section on difraction. 5.6.7. Aberrations There are six types of aberration that can occur in a lens system. 5.6.7.1. Chromatic aberration In section 5.2.3 it was presented that the characteristics of a refractive lens depend on index of refraction and that index of refraction depends on wavelength. If light with multiple wave- lengths passes through a refractive lens, the light will be focused at different points depending on wavelength - see figure 5.51a. For reflective lens systems the angle of incidence equals the angle of reflection irrespective of wavelength and so chromatic aberration does not occur - see figure 5.51b. NA n αsin d 2f⁄= = ␣ d f
  • 45. 42 Copyright © 2000 IC Knowledge LLC, all rights Figure 5.51: Chromatic aberration. Refractive lens system must be restricted to a single narrow wavelength of light for good focus or the lens system must be designed to correct for chromatic aberration. If a diverging lens made with a higher dispersion material is combined with a converging lens made with a lower dispersion material, chromatic correction may be performed - figure 5.52. Figure 5.52: Chromatic aberration correction. In figure 5.52 a converging and a diverging lens are used together to provide correction for chromatic aberration. In order to provide chromatic aberration correction, the diverging lens element must have higher dispersion than the converging lens element. When typical exposure wavelengths were >300nms, chromatic aberration correction was available, however, at wave- lengths <300nm no suitable high dispersion lens material exists. The fact that reflective lens do not suffer from chromatic aberration is a major advantage in optical system design particularly as the exposing radiation wavelength is reduced. 5.6.7.2. Spherical aberration If a lens is ground with a spherical surface, parallel light rays passing through the outer edge of the lens will focus to a point closer to the lens than parallel light rays passing through areas of the lens closer to the center - see figure 5.53a. If the lens is ground with a parabolic sur- face, parallel light rays will focus to the same point irrespective of where they pass through the lens - see figure 5.53b. Longer wavelength Longer wavelength Shorter wavelength Shorter wavelength a) Refractive lens a) Reflective lens Long wavelength Short wavelength
  • 46. Copyright © 2000 IC Knowledge LLC, all rights reserved 43 Figure 5.53: Spherical aberration. 5.6.7.3. Astigmatism Light rays transiting a lens at an angle to the optical axis of the lens focus to a point closer to the lens than rays that pass through the lens parallel to the optical axis - figure 5.54a. Astig- matism only occurs for off-axis light rays. As an object moves in and out of focus, the resulting image is first stretched in one direction for a positive out-of-focus condition and then stretched out in a direction at a 90o angle to the original stretching mode for a negative out-of-focus con- dition - figure 5.54b Figure 5.54: Astigmatism. 5.6.7.4. Coma An aberration of image points that lie off of the optical axis. - figure 5.55a. Comatic flair results in a diffuse tail from an image point which may point towards or away from the optical axis - figure 5.55b. Coma becomes worse as field size and aperture increase. 5.6.7.5. Curvature of field Ideally an image should be in focus for a flat plane. Curvature of field is when the focal plane forms a curved surface - figure 5.56. a) Spherical lens b) Parabolic lens Parallel rays Parallel focus Oblique rays Oblique focus 0 focus + focus - focus a) Optical path b) Image
  • 47. 44 Copyright © 2000 IC Knowledge LLC, all rights Figure 5.55: Coma. Figure 5.56: Curvature of field. 5.6.7.6. Distortion The transverse counterpart to curvature of field. The radial distortion of points towards or away from the optical axis - figure 5.57. Distortion results from a change in magnification Figure 5.57: Distortion. 5.6.8. Coherence Coherency is “the state or quality of being together” [17]. When applied to light coherency may be broken down into two categories, temporal and spatial: Periphery a) Optical path b) Image Center Curved focus area a) Undistorted b) Positive b) Negative
  • 48. Copyright © 2000 IC Knowledge LLC, all rights reserved 45 • The temporal coherence of a light source may be characterized by the coherence length which relates the light source wavelength and bandwidth, and is given by (5.45) • Spatial coherence refers to the phase relationship between the photons or wave fronts. A point source produces wavefronts that spread out from a single point and our perfectly spa- tially coherent, larger light sources result in less spatial coherency. Temporal coherency can be controlled by wavelength selection and spatial coherency can be controlled by the ratio of the illumination system condenser lens NA to the objective lens NA - see figure 5.58 and is given by (5.46) where, ␴ = 1.0 is incoherent and ␴ = 0 is coherent. Figure 5.58: Kohler illumination and factors for determining spatial coherence [18]. • Kohler illumination - a light source that is focused in the entrance pupil of the image form- ing optics is called Kohler illumination. 5.6.9. Interference If two light waves are coherent and the electric fields oscillate in the same direction, than the light waves will interfere with each other. Light waves that meet the aforementioned criteria and are in-phase will interfere constructively and the amplitude of the two waves will add to each other - figure 5.59a. If the two waves are 180o out of phase with each other the two waves will interfere destructively - figure 5.59b. Figure 5.59b illustrates the specific case where the two waves have the same initial amplitude and they cancel each other out. If a single point source shines light onto a pair of slits, from Huygen’s principle the two slits may be considered as point sources for new light waves. The two sources will be coherent and the resulting waves will interfere with each other - figure 5.60a. If the light from the two slits is projected onto a screen the resulting light pattern will alternate between light and dark areas due to destructive and constructive interference - figure 5.60b lC λ 2 Δλ⁄= σ NAC NAO ----------- dS′ dO ------= = dS NAC NAO dS' dO Source Mask Wafer Condenser Objective
  • 49. 46 Copyright © 2000 IC Knowledge LLC, all rights Figure 5.59: Interference. Figure 5.60: Double slit interference [67]. a) Constructive interference b) Destructive interference a) Double slit interference d D ⍜ ⌬r y I m = 0 zero order m = +1 first order m = -1 first order m = +2 second order m = -2 second order m = +3 third order m = -3 third order m = +4 fourth order b) Double slit interference - intensity versus angle m = -4 fourth order
  • 50. Copyright © 2000 IC Knowledge LLC, all rights reserved 47 In figure 5.60, if D >> y and d, then (5.47) The phase difference due to the path length difference is (5.48) where, ␭ is the wavelength of the light. The intensity of light measured on a screen relative to the intensity at axis is (5.49) If more than two slits are used, than the light peaks become narrower and the intensity between the peaks is reduced - see figure 5.61. Also, as the number of slits increases the inten- sity of the peaks increases as the square of the number of slits. Figure 5.61: Multiple slit interference. Interference can also result from the reflection of light off of thin film interfaces. When light travels from a medium of relatively lower index of refraction to a medium of relatively higher index of refraction, the light phase is shifted 180o. When light travels from a medium of relatively higher index of refraction to a medium of relatively lower index of refraction, no phase change occurs. In figure 5.62 a light ray is shown reflecting from the top and bottom sur- face of a thin film. The light reflecting from both surface undergoes a 180o phase shift, so if the Δr d Θsin= φ 2π Δr λ ------ ⎝ ⎠ ⎛ ⎞ (in radians)= I Iocos 2 φ 2 ---= 16I0 9I0 a) 2 slits b) 3 slits c) 4 slits 4I0
  • 51. 48 Copyright © 2000 IC Knowledge LLC, all rights thickness of the film is sufficient to cause an additional 180o phase shift, destructive interfer- ence takes place. This analysis assumes the underlying substrate has a higher index of refraction than the thin film. The change in wavelength for the light in the thin film relative to air must also be accounted for and is given by (5.50) where, ␭F is the wavelength in the film, ␭A is the wavelength in air and nF is the index of refraction of the film. Figure 5.62: Thin film interference. Reflection from the thin film air and thin film substrate interface can also produce a varia- tion in light intensity in the film due to a standing wave - see figure 5.63. Figure 5.63: Standing wave. Standing waves result when the underlying substrate is highly reflective and result in undu- lations in the resulting exposure energy and hence the photoresist profile. Standing waves may be suppressed by anti reflective coatings that will be discussed in a later section. 5.6.10. Diffraction Interference such as was presented in the preceding section is generally used to describe situations with a finite number of line or point sources such as multiple slits. Diffraction - a λF λA nF ------= Substrate Thin film Air xF Reflecting subtrate Air a) Standing wave b) Resulting profile Photoresist
  • 52. Copyright © 2000 IC Knowledge LLC, all rights reserved 49 form of interference is generally used to refer to the interference of waves from an area or infi- nite number of point sources. Consider the edge illustrated in figure 5.64, without diffraction there would be a sharp tran- sition from a dark to light area. With diffraction, an undulating intensity of light decaying to a constant level results. Generally, diffraction results from some type of obstacle existing in the path of the light rays. From Huygen’s principle, the area of light which is not blocked by the obstacle acts as an infinite number of point sources and creates interference patterns. Figure 5.64: Edge diffraction. Diffraction may be Fresnel diffraction or Fraunhofer diffraction. • Fresnel diffraction - the light source and projection plane are both near the light obstacle and therefore the light rays may not be considered parallel. • Fraunhofer diffraction - the light rays approaching and leaving the light obstacle are both parallel. Since diffraction essentially softens the image projected by a light blocking object, diffrac- tion limits the ultimate achievable resolution of an optical system. Consider figure 5.65, as the width of the slit b approaches the wavelength of the light ␭, the difference in light intensity from the region under the slit opening to the region where the slit blocks the light is reduced. At some point when the slit is narrow enough the contrast in light intensity between the two areas is insufficient to form a usable image. The point at which the image is no longer usable is the diffraction limited resolution and is given by Rayleigh’s crite- ria (5.51) Intensity Distance Intensity with diffraction Incident light "Ideal" intensity R k1λ NA --------=
  • 53. 50 Copyright © 2000 IC Knowledge LLC, all rights where, k1 is a process dependent factor From equation 5.51 it can be seen that resolution may be improved by, reducing k1, reduc- ing ␭, or increasing NA. Figure 5.65: Single slit diffraction. Figure 5.66 presents the trend in k1 over the last 18 years. Figure 5.66: k1 trend [16]. Clearly there is a strong trend towards reducing k1, the question is, how low can k1 go. k1 is the result of a number of parameters which will be discussed in detail later in the chapter. At the same time that k1 has been continuously reduced, improved lens design has been increasing NA. Figure 5.67 illustrates the trend in NA over the last 30 years. b b = 2␭ b = 5␭ Incident light 1982 1985 k1 Year 1990 1995 2000 0.3 0.4 0.5 0.6 436nm 365nm 248nm 193nm 0.7 0.8 0.9
  • 54. Copyright © 2000 IC Knowledge LLC, all rights reserved 51 Figure 5.67: Numerical aperture trend. Increasing NA, increases system resolution by increasing the lens acceptance angle and capturing more diffraction orders (see diffraction orders in figure 5.60). In the case of NA, the ultimate value is not in dispute, as was shown earlier NA is always<1.0. The question is the practical limit. The best NA values available for a commercial system is 0.7, longer term per- haps 0.8 will be achievable although difficulties in optical design at shorter wavelengths may make even 0.7 difficult to achieve in next generation tools. There are difficulties inherent in increasing NA and in decreasing k1. Each approach requires a great deal of equipment design work or process development. At the same time that NA has increased and k1 has decreased, ␭ has also been decreasing. 5.6.11. Exposing radiation For many years exposure systems have utilized the output of mercury lamps as an illumi- nation source. Early exposure systems utilized a broad area of the mercury lamp output for exposure. As exposure systems transitioned to refractive - reduction lens systems, light filtering limited the light output primarily to the so called G-line of 436nms. 436nm systems were used until minimum linewidths shrunk below approximately 0.8␮ms. Around 0.6␮ms the I-line of 365nms took over until below 0.35␮ms. The output of mercury lamps below 365nms is limited and so to continue shrinking wavelengths and enhance resolution, excimer laser sources have been employed to produce 248nm and 193nm wavelength light. Early adopters began to use 248nm systems at 0.35␮ms and virtually all exposure systems for 250nm minimum linewidths are 248nms wavelength exposure systems. 248nm systems have also been used for 180nm pro- duction. Figure 5.68 summarizes a broad spectrum of available exposure sources and wave- length. 1970 1975 1980 1985 1990 NA Year 1995 2000 0.0 0.1 0.2 0.3 0.4 0.5 Projection Step & Repeat Step & Scan 0.6 0.7 0.8 0.9 1.0
  • 55. 52 Copyright © 2000 IC Knowledge LLC, all rights In the upper right of the figure, the mercury lamp source is presented with the dominant output “lines”. The lower half of the figure presents a variety of laser wavelengths and the gas used to generate the laser beam. The upper left side of figure 5.68 presents the effective wave- length of some non optical exposure sources that have been proposed for post optical exposure wavelengths. Each of these systems will be discussed in detail in the sections on exposure sys- tems. Figure 5.68: Exposure sources and wavelengths. 5.6.11.1. Excimer lasers The mercury arc lamp has very little output in the DUV region. Commercial 248nm expo- sure systems have switched over to excimer laser light sources due to the higher output at wavelengths of interest. The term excimer comes from the combination of excited and dimer. The excimer laser was developed in the late seventies and has output in the ultraviolet and near ultraviolet region. The efficiency is high with a quantum efficiency near one and overall efficiency of approxi- mately 4% - quite good for a laser [49]. The efficiency and wavelength of excimer lasers makes them attractive for lithography use. In an excimer laser a noble gas such as Kr or Xe is excited in the presence of a halogen such as F or Cl. A resonant cavity is filled with 2-3% noble gas, 0.1 - 0.3% halogen and the bal- 1,000100 E-line-546nm G-line-436nm H-line-405nm I-line-365nm 100 200 300 Mid UV 400 1010.10.01 100K 0.0011nm 0.0039nm 0.0123nm 0.0388nm 0.1227nm 0.3881nm 200nm 600nm Gallium ion wavelength at 10 volts accelerating potential 100KeV H and He ions used for ion projection ~0.0006nm2 10K 1K Accelerating voltage (volts) 100 10 0.001 ␥ - rays X - rays Mercury lamp EUV 13nm E-beam Ions ArF 193nm KrCl 222nm XeCl 308nm Ar 126nm F 157nm 2 N 337nm 2 KrF 248nm XeF 351nm Ultraviolet Visible Infrared Deep UV Wavelength (nms) Lasers Near UV
  • 56. Copyright © 2000 IC Knowledge LLC, all rights reserved 53 ance Ne or He [50]. A high voltage pulse - approximately 12,000 volts for 75 nanoseconds, dis- sociates electrons from the noble gas molecules creating a plasma [51]. In the excited state, noble gas atoms combine with the halogen atoms forming a metastable compound such as KrF or ArF with a lifetime of several nanoseconds. When the electrons in the outer shell of the excited compound are stimulated to a lower energy level, ultraviolet light is emitted and the metastable compound disassociates in a few femtoseconds into the original elements. The KrF reactions are [52]: (5.52) (5.53) (5.54) (5.55) (5.56) (5.57) (5.58) (5.59) (5.60) (5.61) (5.62) Excimer lasers with 2 - 20 watts of output are pulsed at 500 - 2,000hz or more with the high energy pulse lasting 5-20ns. Following each pulse the cavity must be purged to remove long life molecules and ions created during plasma generation. Purging requires 2 - 3 volume changes. The gas is cooled and purified with a cryogenic purifier before being reused. Some of the key laser parameters for lithography are: • Output power - higher output power requires less exposure time to achieve a given expo- sure dose and this translates into higher tool throughput. • Wavelength stability and bandwidth - effects resolution, depth of focus, distortion and the overall complexity of the optical system. For example, a wide bandwidth would require more chromatic aberration correction in a refractive lens system. Excimer laser systems for lithography employ prisms, gratings or etalons to narrow the laser bandwidth. For example, a KrF excimer laser has a native bandwidth of 300pm [68], commercial lithography laser have a bandwidth of <1pm. Kr e – Kr * e – two step ionization - step 1+→+ Kr * e – Kr + 2e two step innozation - step 2+→+ F2 e - F – F electron attachment+→+ Kr * F2 Ne KrF * F Ne KrF * formation through harpooning collisions+ +→+ + Kr + F – Ne KrF * Ne KrF * formation through ion channels+→+ + KrF * Kr F hν spontaneous emission+ +→ KrF * hν Kr F+→ 2hν stimulated emission+ + KrF * F2 Kr F F2 KrF * quenching+ +→+ KrF * Kr 2Kr F KrF * quenching+→+ KrF * 2Ne Kr F Ne KrF * quenching+ +→+ KrF * 2Kr Kr2F * Kr tri-atomic excimer formation+→+
  • 57. 54 Copyright © 2000 IC Knowledge LLC, all rights Note:FWHMisfullwidthhalfmaximum. Typicalproductionsystemgaslifetimesareontheorderof100millionpulsesandtypicaltubelifetimesare5billionpulses. Table5.7:Selectedexcimerlasersforlithography-July2000[53],[54],[55]. CompanyProductType Wavelength (nm) Bandwidth FWHM (pm) Repetition rate (Hz) Power (watts) Pulse energy (mJ) Energy dose stability/ #ofpulses CymerEX-5000 ELS-5000 ELS-5010 ELS-6000 ELS-6010 ELX-6500F2 KrF KrF KrF KrF KrF ArF ArF F2 248 248 248 248 248 193 193 157 <100 <0.8 0.6 р0.6 р0.5 0.5 0.5 0.3 1,000 1,000 1,000 2,000 2,500 2,000 4,000 15 10 10 20 20 10 20 15 10 10 10 8 5 5 5 рϮ0.8%/50 рϮ0.8%/50 Ϯ0.6%/40 рϮ0.5%/32 рϮ0.35% Ϯ0.4%/40 Ϯ0.2%/80 KomatsuKLES-G10KKrF248<0.51,0001010Ϯ0.5%/40 LambdaPhysicK1010 K2010 K2020 A2010 A2020 F620 F630 F1020 F1030 KrF KrF KrF ArF ArF F2 F2 F2 F2 248 248 248 193 193 157 157 157 157 <0.6 <0.6 25 <0.6 25 <1.5 <1.5 <1.5 notnarrowed 1,000 2,000 2,000 2,000 2,000 600 600 1,000 1,000 10 20 30 10 20 6 6 10 10 10 10 15 5 10 10 10 10 10 Ϯ0.5%/50 Ϯ0.5%/50 Ϯ0.5%/50 Ϯ0.8%/50 Ϯ0.5%/50 Ϯ1%/50 Ϯ1%/50 Ϯ0.5%/5 Ϯ0.5%/50
  • 58. Copyright © 2000 IC Knowledge LLC, all rights reserved 55 Figure 5.69 illustrates a line-narrowing Etalon. Figure 5.69: Line-narrowing Etalon [68] • Pulse repetition rate - early excimer lasers had variations in pulse energy of 30%. In order to provide consistent exposure energy a large number of pulses must be integrated. For example, to achieve a 1% exposure energy control with a 30% pulse variation, 900 pulses need to be integrated. Even in more advanced excimer lasers pulse integration is required. High repetition rate is critical for high throughput. The higher the repetition rate the faster the minimum number of pulse is achieved. For example, Hitachi has modeled a 300mm step and scan exposure system and determined that for a 250mm/sec stage and a 30mj/cm2 photoresist, that a 30% improvement in throughput may be realized by increasing the pulse rate from 1kHz to 2kHz. Table 1 illustrates some selected commercial excimer lasers available for lithography use as of July 2000 [53],[54],[55]. Currently: • KrF lasers with 248nm output are widely used for 180nm minimum linewidths and early 130nm linewidth develop (KrF may also be used for 130nm linewidth production). • ArF lasers with 193nm output are being widely evaluated in development programs for <130nm linewidth production. • The F2 laser with 157nm output is just beginning to be looked at for <100nm production. It should be noted that while the F2 is commonly referred to as an excimer laser it is techni- cally not an excimer. • Ar lasers with 126nm output have also been discussed as a potential source for lithography use. Once again the Ar laser is not technically an excimer. Some drawbacks to excimer lasers include, large size of approximately 2' by 5', low electri- cal efficiency, require a gas source - currently fluorine, the lasers generate r.f. noise and the output is dangerous to human eyes. 5.6.12. Optical transparency One issue in optical system design for shorter wavelengths is the optical transparency of available lens materials. At 436nms and 365nms a variety of lens material were available, at 248nms the choices narrow and at 193nms only special low OH - F doped fused silica and cal- cium fluoride (CaF) are suitable lens materials - see figure 5.70. 248 Aperature 248.4 248.5 248.3 248.2
  • 59. 56 Copyright © 2000 IC Knowledge LLC, all rights Figure 5.70: Optical transmission of selected materials [12],[13],[14]. One open question in the industry currently is whether 193nm systems will be widely used at 130nm minimum linewidths or whether the 193nm system introduction will be held off until 100nm minimum linewidths are required. Another question is how small a minimum feature size 193nm system will support and when 157nm or alternative post optical exposure systems will begin to see use. At 157nms - the next step in laser output, only CaF is suitable for lens systems and if 126nm or 13nm (EUV) systems are fabricated, an all reflective optical system will be required. 5.6.13. Coherency effects on resolution In order to produce an image a lens must accept at least one order of diffraction. For a coherent illumination source, ␴ = 0, normal plane waves are incident on the reticle and diffraction angles occur on either side of the zero order, normal to the illumination - see figure 5.71. The position of the first order is given by (5.63) Since a lens numerical aperture is the sin of the half acceptance angle the minimum resolv- able line becomes (5.64) For incoherent illumination, ␴ = 1, the plane waves are non-normal to the mask and only one side of the first diffraction order is collected - see figure 5.72. 100 50 60 70 80 90 Transmission(%) Wavelength (nm) 100 200 CaF2 [12] LiF [14] Fused Silica [13] Fused Silica with low OH and F doping [13] 300 400 500 Θsin λ d --- NA= = R 0.5d 0.5 λ NA --------= =
  • 60. Copyright © 2000 IC Knowledge LLC, all rights reserved 57 Figure 5.71: Coherent illumination [18]. .. Figure 5.72: Incoherent illumination [18]. For incoherent illumination equation 5.52 becomes (5.65) and equation 5.53 becomes (5.66) So that incoherent illumination is capable of resolving features one half the size of the smallest feature resolvable by coherent illumination, however, features resolved by incoherent illumination are not as well defined. 0 Illumination Mask Objective Wafer +1-1 0 Illumination Mask Objective Wafer 1 Θsin λ d --- 2NA= = R 0.5d 0.25λ NA --------------= =
  • 61. 58 Copyright © 2000 IC Knowledge LLC, all rights 5.6.14. Modulation transfer function The preceding discussions on resolution provided resolution limits without taking into account image quality. The modulation transfer function is a measure of the sharpness or con- trast of a transferred image. The modulation transfer function (MTF) is defined by (5.67) and is illustrated in figure 5.73. Figure 5.73: Modulation transfer function [19]. The effect of coherence on MTF is illustrated in figure 5.74. In figure 5.74 it can be seen that coherent light with ␴ = 0 results in a higher modulation level, i.e. a sharper image down to the point where the ␴ = 0 resolution limit of 0.5␭/NA is reached, however at the resolution limit the modulation goes to zero. For incoherent light, ␴ = 1.0, the modulation is lower than for coherent light above the coherent light resolution limit, i.e. the image is less sharp. Below the coherent light resolution limit coherent light does not produce modulation but incoherent light does until the incoherent light resolution limit of 0.25␭/NA is reached. M IMAX IMIN– IMAX IMIN+ -----------------------------= b b b 0 1 2 3 4 Illumination incident on a mask Illumination incident on Photoresist Mask Mask Pattern Lens Photoresist Wafer Ideal transfer Actual transfer IMAX IMI N
  • 62. Copyright © 2000 IC Knowledge LLC, all rights reserved 59 Figure 5.74: Effect of coherence on modulation [18]. As the coherence of light is varied from coherent to incoherent, various intermediate values of partial coherence may be produced, 0 < ␴ < 1.0. The effect of partial coherent on modulation can be calculated and is illustrated in figure 5.75 for a 365nm, 0.37NA exposure system. Figure 5.75: MTF versus coherence for a 365nm, 0.37NA exposure system [20]. An important point to make here is that for each minimum linewidth there is an optimum coherence value that maximizes modulation. Some exposure systems allow the option of adjusting NA and coherence for process tuning. Modulation Line - space pairs/unit length 0 Coherent transfer function = 0␴ Incoherent transfer function = 1.0␴ 1.0 0.5 /NA␭ 0.25 /NA␭ 0.2 0.4 0.6 0.3␴ 0.5␴ 0.7␴ 0.9␴ 0.8 1.0 0 1.67 1.25 1.00 0.83 0.71 0.65 0.56 Line-space pair ( ms)␮ Modulation
  • 63. 60 Copyright © 2000 IC Knowledge LLC, all rights 5.6.15. Aerial image The distribution of exposing radiation intensity at the wafer is referred to as the aerial image. Much as the modulation depends on coherence, the aerial image also depends on the coherence of the exposing radiation. Figure 5.76a illustrates aerial image versus coherence with features > 0.5␭/NA showing an improved image with increasing coherency, and figure 5.76b, illustrates features <0.5␭/NA showing an improved image with decreasing coherency Figure 5.76: Effect of coherence on aerial image [18]. 5.6.16. Depth of focus Depth of focus is distance from the focal point that a wafer may be and still produce an image that meets a specified criteria. Depth of focus is given by (5.68) where k2 is a process related factor. Depth of focus has taken on an increasingly important role as shrinking linewidths, ␭ and increasing NA have resulted in smaller and smaller DOF values. Wafers are not perfectly flat, backside particles may prevent wafers from sitting perfectly flat on exposure system chucks and wafers may also have surface typography large enough to put certain feature out-of-focus for short wavelength - high NA systems. k2 depends on a number of factors but for a photore- sist with reasonable contrast values may be on the order of 0.5 [18]. 5.7. Optical exposure Although photolithography experts have been predicting the end of optical lithography since the mid eighties, optical lithography remains the methodology of choice for patterning IC wafers. With strong resolution enhancement techniques and exposure wavelengths shrinking to 193 and eventually 157nms, it is the authors opinion that optical lithography will carry the industry to the 50nm technology node predicted to occur in 2011 [2]. a) 0.6 /NA␭ Position ␴ = 0 ␴ = 0.9 ␴ = 0.9 ␴ = 0 Intensity Intensity Position b) 0.4 /NA␭ DOF k2λ NA 2 ----------±=
  • 64. Copyright © 2000 IC Knowledge LLC, all rights reserved 61 5.7.1. Contact printing The first commercially available exposure tool was the contact printer introduced in 1964. A photomask containing a pattern for an entire wafer is brought into contact with the wafer to be patterned, hence the name contact printer. A contact printer is illustrated in figure 5.77. Figure 5.77: Contact printer [33]. The contact printer system illustrated in figure 5.77 consists of the following elements: • A mercury arc lamp centered in a parabolic or ellipsoidal reflector to collect the lamp light and direct the light into the aligner optics. The mercury lamp emits light from an area a few mms across so the lamp acts like a point source. • A cold mirror to redirect the light and provide wavelength filtration. • A shutter to control the time the wafer is exposed to light. • An optical integrator creates multiple light images that are then recombined to improve uniformity. • An aperture to block divergent light rays outside a controlled area. • A turning mirror to turn the light towards the wafer. • A condenser lens to create parallel light rays. • The photomask. • The photoresist coated wafer. A vacuum chuck which may be moved in two horizontal directions and also moves up and down a controlled distance to bring the mask and wafer in and out of contact. In order to expose a wafer, a photomask is loaded and rotationally aligned by an operator looking through a microscope. The rotational alignment insures the mask edges are parallel to the front of the aligner. A wafer is then loaded onto the wafer chuck either manually or by an autoloader in Mercury lamp Reflector Cold mirror Condenser lens Turning mirror Photoresist Photo mask Wafer Wafer chuck Shutter Optical integrator Aperture (collimation control)