SlideShare a Scribd company logo
International Journal of VLSI design & Communication Systems (VLSICS) Vol.3, No.1, February 2012
DOI : 10.5121/vlsic.2012.3108 97
PERFORMANCE EVALUATION OF DIFFERENT SRAM
CELL STRUCTURES AT DIFFERENT TECHNOLOGIES
Sapna Singh1
, Neha Arora2
, Meenakshi Suthar3
and Neha Gupta4
Faculty of Engineering Technology, Mody Institute of Technology and Science,
Lakshmangarh, Sikar, INDIA
1
singh.sana067@gmail.com, 2
neha241986@gmail.com
3
meenakshi.suthar32@gmail.com, 4
wdnehagupta@gmail.com
ABSTRACT
In recent years the demand for low power devices has been increases tremendously. To solve the power
dissipation problem, many researchers have proposed different ideas from the device level to the
architectural level and above. However, there is no universal way to avoid tradeoffs between power, delay
and area, thus designers are required to choose appropriate techniques that satisfy application and product
needs. The demand for static random-access memory (SRAM) is increasing with large use of SRAM in
System On-Chip and high-performance VLSI circuits. This paper represents the simulation of different
SRAM cells and their comparative analysis on different parameters such as Power Supply Voltage, area
efficiency etc to enhance the performance. All the simulations have been carried out on BSIM 3V3 90nm,
45nm and 32 technology at Tanner EDA tool.
KEYWORDS
CMOS Logic, Low power, Speed, SRAM and VLSI.
1. INTRODUCTION
The term memory is usually used as a short hand for physical memory which refers to the actual
chips capable handling data. The transistors have been lowered which also contributes to leakage
currents and reduces the battery life dramatically. Solutions involving additional transistors, i.e.,
8T, and 9T, 10T, Differential 10T have been explored to lower power consumption while
reducing these adverse effects in the cell performance. We will therefore look into a couple of
these SRAM Cells topologies that allow the analysis and simulations of different parameters at
90nm, 32nm and 45nm technology successfully on the basis of the power dissipation, speed and
their temperature dependence with the area efficiency of the circuit.
2. LITERATURE REVIEW OF DIFFERENT SRAM CELLS
2.1 6T SRAM Cell
In such a case, the SRAM voltage does not scale with technology and could even be increased as
variability intensifies. The schematic diagram of 6T SRAM cell is shown in Figure.1 [1]. During
read, the WL voltage VWL is raised, and the memory cell discharges either BL (bit line true) or
BLB (bit line complement), depending on the stored data on nodes Q and QB. A sense amplifier
converts the differential signal to a logic-level output. Then, at the end of the read cycle, the BLs
returns to the positive supply rail. During write, VWL is raised and the BLs are forced to either
VDD (depending on the data), overpowering the contents of the memory cell. During hold, VWL
is held low and the BLs are left floating or driven to VDD.
International Journal of VLSI design & Communication Systems (VLSICS) Vol.3, No.1, February 2012
98
Figure 1. Schematic of 6T SRAM Cell
2.2 8T SRAM Cell
Figure 2. Schematic of 8T SRAM Cell
A dual-port cell (8T-cell) is created by adding two transistors, the read can be entirely decoupled
from the write operation in an 8T cell by sensing the data through a separate read stack controlled
by a separate read wordlines (RWL).The remaining 6T portion of the cell is optimized for write,
resulting in an overall lower Vmin. Separation of data retention element and data output element
means that there will be no correlation between the read SNM Cell and I Cell. Thus, an 8T
SRAM design [5] contains a write assist in which a horizontally routed VDD line is collapsed
during write. As a result, the bit cell array Vmin is limited by the hold margin.
.
International Journal of VLSI design & Communication Systems (VLSICS) Vol.3, No.1, February 2012
99
2.3 9TSRAM Cell
Figure 3. Schematic of 9T SRAM Cell
The schematic of the 9T SRAM cell, for CMOS technology, is shown in Figure.3. The upper sub-
circuit of the 9T memory circuit is essentially a 6T SRAM cell with minimum sized devices
(composed of M3, M4, M5, M6, M1 and M2).The two write access transistors (M5 and M6) are
controlled by a write signal (WL). The data is stored within this upper memory sub-circuit. The
lower sub circuit of the new cell is composed of the bit-line access transistors (M7 and M8) and
the read access transistor (M9). The operations of M7 and M8 are controlled by the data stored in
the cell. M9 is controlled by a separate read signal (RD) [7].The 9T SRAM cell completely
isolates the data from the bit lines during a read operation.
2.4 10T SRAM Cell
Figure.4 Schematic of 10T SRAM Cell
Figure.4 shows the schematic of the 10T sub threshold bit cell.[6] Transistors are identical to a 6T
bit cell except that the source of M1 and M2 tie to a virtual supply voltage rail Vdd. Write access
to the bitcell occurs through the write access transistors, M5 and M6, Transistors from the write
bitlines, WBLT and WBLC. Transistors M8 through M10 implement a buffer used for reading.
International Journal of VLSI design & Communication Systems (VLSICS) Vol.3, No.1, February 2012
100
Read access is single-ended and occurs on a separate bitline, RBL, which is precharged to prior to
read access. The wordline for read also is distinct from the write wordline. One key Advantage to
separating the read and write wordlines and bit lines is that a memory using this bit cell can have
distinct read and write ports.
2.5 Modified 10T SRAM Cell
Figure.5 Schematic of Modified 10T SRAM Cell
Figure.5 shows a schematic of a 10T SRAM with differential read bitlines (BL and BLB) [6].Two
NMOS transistors (M9 and M7) for the RBL and the other additional NMOS transistors (M8 and
M10) for BLB are appended to the 6T SRAM. The 10T cell permits bit interleaving and exhibits
superior sense margin with a differential read path based on a DCVSL (differential cascade
voltage-switch-logic level) structure at the column periphery [8].There is a performance
degradation from stacked transistors that requires boosted WL voltages, but BL leakage is
reduced at the same time.
3. SIMULATION PERFORMANCE AND ANALYSIS
All the circuits have been simulated using BSIM 3V3 90 nm, 45nm and 32nm technology on
Tanner EDA tool with supply voltage ranging. To make the impartial testing environment all the
circuits has been simulated on the same input patterns. Figure 6-Figure17 shows comparative
analysis of the circuits stated above at 90nm, 45nm and 32nm technology. The simulation results
reveal that 10T Modified SRAM Cell at 90nm and 45nm technology and 10T SRAM Cell at
32nm technology shows always best performance for the range of power consumption, operating
frequency and temperature [3, 9].
International Journal of VLSI design & Communication Systems (VLSICS) Vol.3, No.1, February 2012
101
3.1 Simulation of different SRAM cells at 90nm technology.
Figure 6. Power Consumption vs. Vdd for Different SRAM Cells
Figure 7. Delay vs. Vdd for Different SRAM Cells
Figure 8. Power Consumption vs. Operating Temperature for Different SRAM Cells.
International Journal of VLSI design & Communication Systems (VLSICS) Vol.3, No.1, February 2012
102
Figure 9. Power Consumption vs. Operating Frequency for Different SRAM Cells
3.2 Simulation of different SRAM cells at 45nm technology.
.
Figure 10. Power Consumption vs. Vdd for Different SRAM Cells
Figure 11. Delay vs. Vdd for Different SRAM Cells
International Journal of VLSI design & Communication Systems (VLSICS) Vol.3, No.1, February 2012
103
Figure 12. Power Consumption vs. Operating Frequency for Different SRAM Cells.
Figure 13. Power Consumption vs. Operating Temperature for Different SRAM Cells
3.3 Simulation of different SRAM cells at 32nm technology.
Figure 14. Power Consumption vs. Vdd for Different SRAM Cells
International Journal of VLSI design & Communication Systems (VLSICS) Vol.3, No.1, February 2012
104
Figure 15. Delay vs. Vdd for Different SRAM Cells
Figure 16. Power Consumption vs. Operating Frequency for Different SRAM Cells.
Figure 17. Power Consumption vs. Operating Temperature for Different SRAM Cells.
4. OBSERVATIONS
The following are the observations of power delay product of different SRAM cells at different
technologies with supply voltage ranging.
International Journal of VLSI design & Communication Systems (VLSICS) Vol.3, No.1, February 2012
105
Different
SRAM
Cells
Power Delay Product(watts-seconds)
Vdd=1v Vdd=1.07v Vdd=1.32v
6T 1.12E-12 1.39E-12 2.57E-12
8T 5.03E-13 6.06E-13 1.05E-12
9T 1.16E-13 1.56E-13 3.49E-13
10T 2.46E-13 3.00E-13 8.60E-13
10TM 3.04E-15 4.85E-15 1.78E-14
Table 1. Power Delay Product comparison of different SRAM cells at 90 nm technology.
Different
SRAM
Cells
Power Delay Product(watts-seconds)
Vdd=1v Vdd=1.32v Vdd=1.65v
6T 1.75E-13 4.19E-13 7.05E-13
8T 3.70E-13 9.72E-13 1.65E-12
9T 1.16E-13 3.49E-13 7.11E-13
10T 2.75E-13 9.74E-13 2.34E-13
10TM 3.08E-13 8.54E-13 1.74E-13
Table 2. Power Delay Product comparison of different SRAM cells at 45 nm technology.
Different
SRAM
Cells
Power Delay Product(watts-seconds)
Vdd=1v Vdd=1.32v Vdd=1.65v
6T 4.97E-15 7.55E-14 3.33E-13
8T 5.00E-14 3.05E-12 9.85E-13
9T 6.43E-14 2.66E-13 6.37E-13
10T 2.52E-15 4.91E-14 1.57E-13
10TM 2.21E-14 7.02E-14 3.50E-13
Table 3. Power Delay Product comparison of different SRAM cells at 32 nm technology.
5. SIMULATION RESULTS
Figure 18-Figure 22 shows output waveforms of different SRAM cell structures stated above at
90nm, 45nm and 32nm technology.
International Journal of VLSI design & Communication Systems (VLSICS) Vol.3, No.1, February 2012
106
Figure 18. Output waveform of 6T SRAM cell.
. Figure 19. Output waveform of 8T SRAM cell
Figure 20.Output waveform of 9T SRAM cell
International Journal of VLSI design & Communication Systems (VLSICS) Vol.3, No.1, February 2012
107
.
Figure 21.Output waveform of 10T SRAM cell
Figure 22.Output waveform of Modified 10T SRAM cell
6. CONCLUSION
A conclusion section must be included and should indicate clearly, the most efficient technique to
reduce the power dissipation is the reduction of the supply voltage. The power dissipation
reduction in SRAMs is not only due to power supply voltage reduction, but also to operating
frequency and temperature. All the above figures depicts that 10T Modified SRAM cell at 90nm
and 45nm technology and 10T SRAM cell at 32nm technology shows better performance for the
range of frequency and temperature among all the other design approaches for SRAM cell. This
paper tries to find out an efficient SRAM memory cell in both the aspects power consumption and
speed in terms of power delay product at different technologies.
7. ACKNOWLEDGEMENT
We would like to sincerely thank Prof. B.P Singh, Head of Department of Electronics &
Communication, Mody Institute of Technology and Science, Lakshmangarh who inspired us to
do this work. In addition we would like to thank Prof P.K Das, Dean, Faculty of Engineering,
Mody Institute of Technology and Science for providing us resources to carry out our work.
International Journal of VLSI design & Communication Systems (VLSICS) Vol.3, No.1, February 2012
108
REFERENCES
[1] Jawar Singh, Dhiraj K.Pradhan,” A single ended 6T SRAM cell design for ultra low voltage
applications”, IEICE Electronic Express, 2008, pp-750-755.
[2] Kang, Sung-Mo, Leblebici and Yusuf (1999), “CMOS digital integrated circuits analysis and design”,
McGraw-Hill International Editions, Boston, 2nd Edition.
[3] C-T. Chu, X. Zhang, L. He and T. Jing, “Temperature aware microprocessor floorplanning
considering application dependent power load”, in Proc. of ICCAD, 2007, pp. 586-589.
[4] Narender Hachette and Nagarajan Ranganathan, "LECTOR: A technique for leakage reduction in
CMOS circuits," IEEE Trans., on VLSI Systems, vol. 12, No.2, Feb 2004.
[5] Chang, L. Montoye, R.K. Nakamura, Y.Batson, K.A.Eickemeyer, R.J.Dennard, R.H. Haensch,
W.Jamsek, D, “An 8T-SRAM for variability tolerance and low-voltage operation in high-
performance caches”, Solid-State Circuits, IEEE Journal vol. 43, April 2008, Issue 4, pp-956-963.
[6] Zhiyu Liu, Volkan Kursun, “ Characterization of a novel nine transistor SRAM cell”, IEEE
Transactions on Very Large Scale Integration Systems,vol.46, Issue 4,April 2008.pp-488-492.
[7] Koichi Takeda , “A read static noise margin free SRAM cell for low Vdd and high speed
applications”, Solid-State Circuits, IEEE Journal vol. 41, Jan.2006, Issue 1, pp.113-121.
[8] Hiroki Noguchi, “Which is the best dual port SRAM in 45nm process technology? 8T, 10T single
end and 10T differential” Renesas Technology corporation, 2008.
[9] S. Dutta, S. Nag, K. Roy, “ASAP: A transistor sizing tool for speed, area, and power optimization of
static CMOS circuits”, IEEE International Symposium on Circuits and Systems, pp. 61-64, June,
1994.
[10] Rajshekhar Keerthi ,Henry Chen, “Stability and static noise margin analysis of low power SRAM”
IEEE International Instrumentation & Measurement Technology Conference, Victoria Canada, May
2008,pp-1541-1544.
[11] A. P. Chandrakasan, S. Sheng, and R. W. Brod ersen, “Low-power CMOS digital design.” IEEE J.
Solid-State Circ., vol. 27, no. 4, pp. 473-484, Apr. 1992.
[12] J.Rabaey, “Digital Integrated Circuits, A Design Perspective”, Prentice Hall, Upper Saddle River,NJ,
1996.
International Journal of VLSI design & Communication Systems (VLSICS) Vol.3, No.1, February 2012
109
Authors
Sapna Singh received her B.Tech degree in Electronics and Communication Engineering
from Kumaon Engineering College, Dwarahat in 2010 and presently pursuing M.Tech
VLSI Design in Mody Institute of Technology and Science, Lakshmangarh. Her research
interests are low power vlsi design and analog and digital integrated circuit design.
Neha Arora was born in 1986. She received the B.E. degree in Electronics and
Communication engineering from the Reputed College of Rajasthan University, India, in
2007, and recently completed the academics of M.Tech in VLSI Design from Faculty of
Engineering & Technology, Mody Institute of Technology and Science in 2010. To share
the knowledge that she gained and to show her abilities in teaching profession, she started
to work as a lecturer in 2007. After gaining the experience of two years, she was
promoted to Assistant Professor in 2009 with the Department of Electronics and
Communication Engineering, at Mody Institute of Technology and Science (Deemed University).Her
current research interests include low-voltage, low power, and high-performance integrated circuit design
and simulation of Very large scale integrated circuits. She has more than 30 publications in international
journals and Conferences in the areas of high performance integrated circuits and emerging semiconductor
Technologies.
Meenakshi Suthar received her B.Tech degree in Electronics and Communication
Engineering from Marudhar Engineering College, Bikaner in 2010, and presently pursing
M.Tech VLSI Design in Mody Institute of Technology and Science, Lakshmangarh. Her
research interests are analog integrated circuit design and analog communication systems.
Neha Gupta received her B.Tech degree in Electronics and Communication Engineering
from Mody institute of Technology and Science, Lakshmangarh in 2009, and presently
pursuing M.Tech VLSI Design in Mody Institute of Technology and Science,
Lakshmangarh. Her research interests are analog integrated circuit design and high
performance analog circuits.

More Related Content

What's hot

IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD Editor
 
10T Dual-voltage Low Power SRAM Project Report
10T Dual-voltage Low Power SRAM Project Report10T Dual-voltage Low Power SRAM Project Report
10T Dual-voltage Low Power SRAM Project Report
Jie Song
 

What's hot (18)

B0540714
B0540714B0540714
B0540714
 
International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)
 
Tsp cmc 46872
Tsp cmc 46872Tsp cmc 46872
Tsp cmc 46872
 
Implementation of High Reliable 6T SRAM Cell Design
Implementation of High Reliable 6T SRAM Cell DesignImplementation of High Reliable 6T SRAM Cell Design
Implementation of High Reliable 6T SRAM Cell Design
 
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
 
Iw2616951698
Iw2616951698Iw2616951698
Iw2616951698
 
Design and Simulation Low power SRAM Circuits
Design and Simulation Low power SRAM CircuitsDesign and Simulation Low power SRAM Circuits
Design and Simulation Low power SRAM Circuits
 
Sram memory design
Sram memory designSram memory design
Sram memory design
 
Advantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAMAdvantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAM
 
10T Dual-voltage Low Power SRAM Project Report
10T Dual-voltage Low Power SRAM Project Report10T Dual-voltage Low Power SRAM Project Report
10T Dual-voltage Low Power SRAM Project Report
 
Project Report Of SRAM Design
Project Report Of SRAM DesignProject Report Of SRAM Design
Project Report Of SRAM Design
 
Sram technology
Sram technologySram technology
Sram technology
 
A SINGLE-ENDED AND BIT-INTERLEAVING 7T SRAM CELL IN SUB-THRESHOLD REGION WITH...
A SINGLE-ENDED AND BIT-INTERLEAVING 7T SRAM CELL IN SUB-THRESHOLD REGION WITH...A SINGLE-ENDED AND BIT-INTERLEAVING 7T SRAM CELL IN SUB-THRESHOLD REGION WITH...
A SINGLE-ENDED AND BIT-INTERLEAVING 7T SRAM CELL IN SUB-THRESHOLD REGION WITH...
 
Sram pdf
Sram pdfSram pdf
Sram pdf
 
“Power and Temperature Analysis of 12T CMOS SRAM Designed With Short Channel ...
“Power and Temperature Analysis of 12T CMOS SRAM Designed With Short Channel ...“Power and Temperature Analysis of 12T CMOS SRAM Designed With Short Channel ...
“Power and Temperature Analysis of 12T CMOS SRAM Designed With Short Channel ...
 
Single Ended Schmitt Trigger Based Robust Low Power SRAM Cell
Single Ended Schmitt Trigger Based Robust Low Power SRAM CellSingle Ended Schmitt Trigger Based Robust Low Power SRAM Cell
Single Ended Schmitt Trigger Based Robust Low Power SRAM Cell
 
A Single-Ended With Dynamic Feedback Control 8T Subthreshold SRAM Cell
A Single-Ended With Dynamic Feedback Control 8T Subthreshold SRAM Cell A Single-Ended With Dynamic Feedback Control 8T Subthreshold SRAM Cell
A Single-Ended With Dynamic Feedback Control 8T Subthreshold SRAM Cell
 
C0211520
C0211520C0211520
C0211520
 

Similar to PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOLOGIES

Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
IJECEIAES
 
Process Variation and Radiation-Immune Single Ended 6T SRAM Cell
Process Variation and Radiation-Immune Single Ended 6T SRAM CellProcess Variation and Radiation-Immune Single Ended 6T SRAM Cell
Process Variation and Radiation-Immune Single Ended 6T SRAM Cell
IDES Editor
 

Similar to PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOLOGIES (20)

Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
 
Advantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAMAdvantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAM
 
Kc2517811784
Kc2517811784Kc2517811784
Kc2517811784
 
Af34193199
Af34193199Af34193199
Af34193199
 
The International Journal of Engineering and Science (The IJES)
The International Journal of Engineering and Science (The IJES)The International Journal of Engineering and Science (The IJES)
The International Journal of Engineering and Science (The IJES)
 
An Innovative Design solution for minimizing Power Dissipation in SRAM Cell
An Innovative Design solution for minimizing Power Dissipation in SRAM CellAn Innovative Design solution for minimizing Power Dissipation in SRAM Cell
An Innovative Design solution for minimizing Power Dissipation in SRAM Cell
 
MTJ-Based Nonvolatile 9T SRAM Cell
MTJ-Based Nonvolatile 9T SRAM CellMTJ-Based Nonvolatile 9T SRAM Cell
MTJ-Based Nonvolatile 9T SRAM Cell
 
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
 
Process Variation and Radiation-Immune Single Ended 6T SRAM Cell
Process Variation and Radiation-Immune Single Ended 6T SRAM CellProcess Variation and Radiation-Immune Single Ended 6T SRAM Cell
Process Variation and Radiation-Immune Single Ended 6T SRAM Cell
 
IMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAMIMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAM
 
Using CMOS Sub-Micron Technology VLSI Implementation of Low Power, High Spee...
 Using CMOS Sub-Micron Technology VLSI Implementation of Low Power, High Spee... Using CMOS Sub-Micron Technology VLSI Implementation of Low Power, High Spee...
Using CMOS Sub-Micron Technology VLSI Implementation of Low Power, High Spee...
 
Using CMOS Sub-Micron Technology VLSI Implementation of Low Power, High Speed...
Using CMOS Sub-Micron Technology VLSI Implementation of Low Power, High Speed...Using CMOS Sub-Micron Technology VLSI Implementation of Low Power, High Speed...
Using CMOS Sub-Micron Technology VLSI Implementation of Low Power, High Speed...
 
IMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAMIMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAM
 
IMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAMIMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAM
 
CELL STABILITY ANALYSIS OF CONVENTIONAL 6T DYNAMIC 8T SRAM CELL IN 45NM TECHN...
CELL STABILITY ANALYSIS OF CONVENTIONAL 6T DYNAMIC 8T SRAM CELL IN 45NM TECHN...CELL STABILITY ANALYSIS OF CONVENTIONAL 6T DYNAMIC 8T SRAM CELL IN 45NM TECHN...
CELL STABILITY ANALYSIS OF CONVENTIONAL 6T DYNAMIC 8T SRAM CELL IN 45NM TECHN...
 
SINGLE-PORT FIVE-TRANSISTOR SRAM CELL WITH REDUCED LEAKAGE CURRENT IN STANDBY
SINGLE-PORT FIVE-TRANSISTOR SRAM CELL WITH REDUCED LEAKAGE CURRENT IN STANDBYSINGLE-PORT FIVE-TRANSISTOR SRAM CELL WITH REDUCED LEAKAGE CURRENT IN STANDBY
SINGLE-PORT FIVE-TRANSISTOR SRAM CELL WITH REDUCED LEAKAGE CURRENT IN STANDBY
 
250nm Technology Based Low Power SRAM Memory
250nm Technology Based Low Power SRAM Memory250nm Technology Based Low Power SRAM Memory
250nm Technology Based Low Power SRAM Memory
 
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...
 
Cx33596601
Cx33596601Cx33596601
Cx33596601
 
L24093097
L24093097L24093097
L24093097
 

Recently uploaded

Hall booking system project report .pdf
Hall booking system project report  .pdfHall booking system project report  .pdf
Hall booking system project report .pdf
Kamal Acharya
 
Standard Reomte Control Interface - Neometrix
Standard Reomte Control Interface - NeometrixStandard Reomte Control Interface - Neometrix
Standard Reomte Control Interface - Neometrix
Neometrix_Engineering_Pvt_Ltd
 
Online blood donation management system project.pdf
Online blood donation management system project.pdfOnline blood donation management system project.pdf
Online blood donation management system project.pdf
Kamal Acharya
 
School management system project report.pdf
School management system project report.pdfSchool management system project report.pdf
School management system project report.pdf
Kamal Acharya
 

Recently uploaded (20)

The Benefits and Techniques of Trenchless Pipe Repair.pdf
The Benefits and Techniques of Trenchless Pipe Repair.pdfThe Benefits and Techniques of Trenchless Pipe Repair.pdf
The Benefits and Techniques of Trenchless Pipe Repair.pdf
 
Halogenation process of chemical process industries
Halogenation process of chemical process industriesHalogenation process of chemical process industries
Halogenation process of chemical process industries
 
Construction method of steel structure space frame .pptx
Construction method of steel structure space frame .pptxConstruction method of steel structure space frame .pptx
Construction method of steel structure space frame .pptx
 
Hall booking system project report .pdf
Hall booking system project report  .pdfHall booking system project report  .pdf
Hall booking system project report .pdf
 
Courier management system project report.pdf
Courier management system project report.pdfCourier management system project report.pdf
Courier management system project report.pdf
 
Quality defects in TMT Bars, Possible causes and Potential Solutions.
Quality defects in TMT Bars, Possible causes and Potential Solutions.Quality defects in TMT Bars, Possible causes and Potential Solutions.
Quality defects in TMT Bars, Possible causes and Potential Solutions.
 
ASME IX(9) 2007 Full Version .pdf
ASME IX(9)  2007 Full Version       .pdfASME IX(9)  2007 Full Version       .pdf
ASME IX(9) 2007 Full Version .pdf
 
KIT-601 Lecture Notes-UNIT-4.pdf Frequent Itemsets and Clustering
KIT-601 Lecture Notes-UNIT-4.pdf Frequent Itemsets and ClusteringKIT-601 Lecture Notes-UNIT-4.pdf Frequent Itemsets and Clustering
KIT-601 Lecture Notes-UNIT-4.pdf Frequent Itemsets and Clustering
 
KIT-601 Lecture Notes-UNIT-5.pdf Frame Works and Visualization
KIT-601 Lecture Notes-UNIT-5.pdf Frame Works and VisualizationKIT-601 Lecture Notes-UNIT-5.pdf Frame Works and Visualization
KIT-601 Lecture Notes-UNIT-5.pdf Frame Works and Visualization
 
Standard Reomte Control Interface - Neometrix
Standard Reomte Control Interface - NeometrixStandard Reomte Control Interface - Neometrix
Standard Reomte Control Interface - Neometrix
 
Electrostatic field in a coaxial transmission line
Electrostatic field in a coaxial transmission lineElectrostatic field in a coaxial transmission line
Electrostatic field in a coaxial transmission line
 
Explosives Industry manufacturing process.pdf
Explosives Industry manufacturing process.pdfExplosives Industry manufacturing process.pdf
Explosives Industry manufacturing process.pdf
 
BRAKING SYSTEM IN INDIAN RAILWAY AutoCAD DRAWING
BRAKING SYSTEM IN INDIAN RAILWAY AutoCAD DRAWINGBRAKING SYSTEM IN INDIAN RAILWAY AutoCAD DRAWING
BRAKING SYSTEM IN INDIAN RAILWAY AutoCAD DRAWING
 
retail automation billing system ppt.pptx
retail automation billing system ppt.pptxretail automation billing system ppt.pptx
retail automation billing system ppt.pptx
 
Top 13 Famous Civil Engineering Scientist
Top 13 Famous Civil Engineering ScientistTop 13 Famous Civil Engineering Scientist
Top 13 Famous Civil Engineering Scientist
 
2024 DevOps Pro Europe - Growing at the edge
2024 DevOps Pro Europe - Growing at the edge2024 DevOps Pro Europe - Growing at the edge
2024 DevOps Pro Europe - Growing at the edge
 
A CASE STUDY ON ONLINE TICKET BOOKING SYSTEM PROJECT.pdf
A CASE STUDY ON ONLINE TICKET BOOKING SYSTEM PROJECT.pdfA CASE STUDY ON ONLINE TICKET BOOKING SYSTEM PROJECT.pdf
A CASE STUDY ON ONLINE TICKET BOOKING SYSTEM PROJECT.pdf
 
Peek implant persentation - Copy (1).pdf
Peek implant persentation - Copy (1).pdfPeek implant persentation - Copy (1).pdf
Peek implant persentation - Copy (1).pdf
 
Online blood donation management system project.pdf
Online blood donation management system project.pdfOnline blood donation management system project.pdf
Online blood donation management system project.pdf
 
School management system project report.pdf
School management system project report.pdfSchool management system project report.pdf
School management system project report.pdf
 

PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOLOGIES

  • 1. International Journal of VLSI design & Communication Systems (VLSICS) Vol.3, No.1, February 2012 DOI : 10.5121/vlsic.2012.3108 97 PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOLOGIES Sapna Singh1 , Neha Arora2 , Meenakshi Suthar3 and Neha Gupta4 Faculty of Engineering Technology, Mody Institute of Technology and Science, Lakshmangarh, Sikar, INDIA 1 singh.sana067@gmail.com, 2 neha241986@gmail.com 3 meenakshi.suthar32@gmail.com, 4 wdnehagupta@gmail.com ABSTRACT In recent years the demand for low power devices has been increases tremendously. To solve the power dissipation problem, many researchers have proposed different ideas from the device level to the architectural level and above. However, there is no universal way to avoid tradeoffs between power, delay and area, thus designers are required to choose appropriate techniques that satisfy application and product needs. The demand for static random-access memory (SRAM) is increasing with large use of SRAM in System On-Chip and high-performance VLSI circuits. This paper represents the simulation of different SRAM cells and their comparative analysis on different parameters such as Power Supply Voltage, area efficiency etc to enhance the performance. All the simulations have been carried out on BSIM 3V3 90nm, 45nm and 32 technology at Tanner EDA tool. KEYWORDS CMOS Logic, Low power, Speed, SRAM and VLSI. 1. INTRODUCTION The term memory is usually used as a short hand for physical memory which refers to the actual chips capable handling data. The transistors have been lowered which also contributes to leakage currents and reduces the battery life dramatically. Solutions involving additional transistors, i.e., 8T, and 9T, 10T, Differential 10T have been explored to lower power consumption while reducing these adverse effects in the cell performance. We will therefore look into a couple of these SRAM Cells topologies that allow the analysis and simulations of different parameters at 90nm, 32nm and 45nm technology successfully on the basis of the power dissipation, speed and their temperature dependence with the area efficiency of the circuit. 2. LITERATURE REVIEW OF DIFFERENT SRAM CELLS 2.1 6T SRAM Cell In such a case, the SRAM voltage does not scale with technology and could even be increased as variability intensifies. The schematic diagram of 6T SRAM cell is shown in Figure.1 [1]. During read, the WL voltage VWL is raised, and the memory cell discharges either BL (bit line true) or BLB (bit line complement), depending on the stored data on nodes Q and QB. A sense amplifier converts the differential signal to a logic-level output. Then, at the end of the read cycle, the BLs returns to the positive supply rail. During write, VWL is raised and the BLs are forced to either VDD (depending on the data), overpowering the contents of the memory cell. During hold, VWL is held low and the BLs are left floating or driven to VDD.
  • 2. International Journal of VLSI design & Communication Systems (VLSICS) Vol.3, No.1, February 2012 98 Figure 1. Schematic of 6T SRAM Cell 2.2 8T SRAM Cell Figure 2. Schematic of 8T SRAM Cell A dual-port cell (8T-cell) is created by adding two transistors, the read can be entirely decoupled from the write operation in an 8T cell by sensing the data through a separate read stack controlled by a separate read wordlines (RWL).The remaining 6T portion of the cell is optimized for write, resulting in an overall lower Vmin. Separation of data retention element and data output element means that there will be no correlation between the read SNM Cell and I Cell. Thus, an 8T SRAM design [5] contains a write assist in which a horizontally routed VDD line is collapsed during write. As a result, the bit cell array Vmin is limited by the hold margin. .
  • 3. International Journal of VLSI design & Communication Systems (VLSICS) Vol.3, No.1, February 2012 99 2.3 9TSRAM Cell Figure 3. Schematic of 9T SRAM Cell The schematic of the 9T SRAM cell, for CMOS technology, is shown in Figure.3. The upper sub- circuit of the 9T memory circuit is essentially a 6T SRAM cell with minimum sized devices (composed of M3, M4, M5, M6, M1 and M2).The two write access transistors (M5 and M6) are controlled by a write signal (WL). The data is stored within this upper memory sub-circuit. The lower sub circuit of the new cell is composed of the bit-line access transistors (M7 and M8) and the read access transistor (M9). The operations of M7 and M8 are controlled by the data stored in the cell. M9 is controlled by a separate read signal (RD) [7].The 9T SRAM cell completely isolates the data from the bit lines during a read operation. 2.4 10T SRAM Cell Figure.4 Schematic of 10T SRAM Cell Figure.4 shows the schematic of the 10T sub threshold bit cell.[6] Transistors are identical to a 6T bit cell except that the source of M1 and M2 tie to a virtual supply voltage rail Vdd. Write access to the bitcell occurs through the write access transistors, M5 and M6, Transistors from the write bitlines, WBLT and WBLC. Transistors M8 through M10 implement a buffer used for reading.
  • 4. International Journal of VLSI design & Communication Systems (VLSICS) Vol.3, No.1, February 2012 100 Read access is single-ended and occurs on a separate bitline, RBL, which is precharged to prior to read access. The wordline for read also is distinct from the write wordline. One key Advantage to separating the read and write wordlines and bit lines is that a memory using this bit cell can have distinct read and write ports. 2.5 Modified 10T SRAM Cell Figure.5 Schematic of Modified 10T SRAM Cell Figure.5 shows a schematic of a 10T SRAM with differential read bitlines (BL and BLB) [6].Two NMOS transistors (M9 and M7) for the RBL and the other additional NMOS transistors (M8 and M10) for BLB are appended to the 6T SRAM. The 10T cell permits bit interleaving and exhibits superior sense margin with a differential read path based on a DCVSL (differential cascade voltage-switch-logic level) structure at the column periphery [8].There is a performance degradation from stacked transistors that requires boosted WL voltages, but BL leakage is reduced at the same time. 3. SIMULATION PERFORMANCE AND ANALYSIS All the circuits have been simulated using BSIM 3V3 90 nm, 45nm and 32nm technology on Tanner EDA tool with supply voltage ranging. To make the impartial testing environment all the circuits has been simulated on the same input patterns. Figure 6-Figure17 shows comparative analysis of the circuits stated above at 90nm, 45nm and 32nm technology. The simulation results reveal that 10T Modified SRAM Cell at 90nm and 45nm technology and 10T SRAM Cell at 32nm technology shows always best performance for the range of power consumption, operating frequency and temperature [3, 9].
  • 5. International Journal of VLSI design & Communication Systems (VLSICS) Vol.3, No.1, February 2012 101 3.1 Simulation of different SRAM cells at 90nm technology. Figure 6. Power Consumption vs. Vdd for Different SRAM Cells Figure 7. Delay vs. Vdd for Different SRAM Cells Figure 8. Power Consumption vs. Operating Temperature for Different SRAM Cells.
  • 6. International Journal of VLSI design & Communication Systems (VLSICS) Vol.3, No.1, February 2012 102 Figure 9. Power Consumption vs. Operating Frequency for Different SRAM Cells 3.2 Simulation of different SRAM cells at 45nm technology. . Figure 10. Power Consumption vs. Vdd for Different SRAM Cells Figure 11. Delay vs. Vdd for Different SRAM Cells
  • 7. International Journal of VLSI design & Communication Systems (VLSICS) Vol.3, No.1, February 2012 103 Figure 12. Power Consumption vs. Operating Frequency for Different SRAM Cells. Figure 13. Power Consumption vs. Operating Temperature for Different SRAM Cells 3.3 Simulation of different SRAM cells at 32nm technology. Figure 14. Power Consumption vs. Vdd for Different SRAM Cells
  • 8. International Journal of VLSI design & Communication Systems (VLSICS) Vol.3, No.1, February 2012 104 Figure 15. Delay vs. Vdd for Different SRAM Cells Figure 16. Power Consumption vs. Operating Frequency for Different SRAM Cells. Figure 17. Power Consumption vs. Operating Temperature for Different SRAM Cells. 4. OBSERVATIONS The following are the observations of power delay product of different SRAM cells at different technologies with supply voltage ranging.
  • 9. International Journal of VLSI design & Communication Systems (VLSICS) Vol.3, No.1, February 2012 105 Different SRAM Cells Power Delay Product(watts-seconds) Vdd=1v Vdd=1.07v Vdd=1.32v 6T 1.12E-12 1.39E-12 2.57E-12 8T 5.03E-13 6.06E-13 1.05E-12 9T 1.16E-13 1.56E-13 3.49E-13 10T 2.46E-13 3.00E-13 8.60E-13 10TM 3.04E-15 4.85E-15 1.78E-14 Table 1. Power Delay Product comparison of different SRAM cells at 90 nm technology. Different SRAM Cells Power Delay Product(watts-seconds) Vdd=1v Vdd=1.32v Vdd=1.65v 6T 1.75E-13 4.19E-13 7.05E-13 8T 3.70E-13 9.72E-13 1.65E-12 9T 1.16E-13 3.49E-13 7.11E-13 10T 2.75E-13 9.74E-13 2.34E-13 10TM 3.08E-13 8.54E-13 1.74E-13 Table 2. Power Delay Product comparison of different SRAM cells at 45 nm technology. Different SRAM Cells Power Delay Product(watts-seconds) Vdd=1v Vdd=1.32v Vdd=1.65v 6T 4.97E-15 7.55E-14 3.33E-13 8T 5.00E-14 3.05E-12 9.85E-13 9T 6.43E-14 2.66E-13 6.37E-13 10T 2.52E-15 4.91E-14 1.57E-13 10TM 2.21E-14 7.02E-14 3.50E-13 Table 3. Power Delay Product comparison of different SRAM cells at 32 nm technology. 5. SIMULATION RESULTS Figure 18-Figure 22 shows output waveforms of different SRAM cell structures stated above at 90nm, 45nm and 32nm technology.
  • 10. International Journal of VLSI design & Communication Systems (VLSICS) Vol.3, No.1, February 2012 106 Figure 18. Output waveform of 6T SRAM cell. . Figure 19. Output waveform of 8T SRAM cell Figure 20.Output waveform of 9T SRAM cell
  • 11. International Journal of VLSI design & Communication Systems (VLSICS) Vol.3, No.1, February 2012 107 . Figure 21.Output waveform of 10T SRAM cell Figure 22.Output waveform of Modified 10T SRAM cell 6. CONCLUSION A conclusion section must be included and should indicate clearly, the most efficient technique to reduce the power dissipation is the reduction of the supply voltage. The power dissipation reduction in SRAMs is not only due to power supply voltage reduction, but also to operating frequency and temperature. All the above figures depicts that 10T Modified SRAM cell at 90nm and 45nm technology and 10T SRAM cell at 32nm technology shows better performance for the range of frequency and temperature among all the other design approaches for SRAM cell. This paper tries to find out an efficient SRAM memory cell in both the aspects power consumption and speed in terms of power delay product at different technologies. 7. ACKNOWLEDGEMENT We would like to sincerely thank Prof. B.P Singh, Head of Department of Electronics & Communication, Mody Institute of Technology and Science, Lakshmangarh who inspired us to do this work. In addition we would like to thank Prof P.K Das, Dean, Faculty of Engineering, Mody Institute of Technology and Science for providing us resources to carry out our work.
  • 12. International Journal of VLSI design & Communication Systems (VLSICS) Vol.3, No.1, February 2012 108 REFERENCES [1] Jawar Singh, Dhiraj K.Pradhan,” A single ended 6T SRAM cell design for ultra low voltage applications”, IEICE Electronic Express, 2008, pp-750-755. [2] Kang, Sung-Mo, Leblebici and Yusuf (1999), “CMOS digital integrated circuits analysis and design”, McGraw-Hill International Editions, Boston, 2nd Edition. [3] C-T. Chu, X. Zhang, L. He and T. Jing, “Temperature aware microprocessor floorplanning considering application dependent power load”, in Proc. of ICCAD, 2007, pp. 586-589. [4] Narender Hachette and Nagarajan Ranganathan, "LECTOR: A technique for leakage reduction in CMOS circuits," IEEE Trans., on VLSI Systems, vol. 12, No.2, Feb 2004. [5] Chang, L. Montoye, R.K. Nakamura, Y.Batson, K.A.Eickemeyer, R.J.Dennard, R.H. Haensch, W.Jamsek, D, “An 8T-SRAM for variability tolerance and low-voltage operation in high- performance caches”, Solid-State Circuits, IEEE Journal vol. 43, April 2008, Issue 4, pp-956-963. [6] Zhiyu Liu, Volkan Kursun, “ Characterization of a novel nine transistor SRAM cell”, IEEE Transactions on Very Large Scale Integration Systems,vol.46, Issue 4,April 2008.pp-488-492. [7] Koichi Takeda , “A read static noise margin free SRAM cell for low Vdd and high speed applications”, Solid-State Circuits, IEEE Journal vol. 41, Jan.2006, Issue 1, pp.113-121. [8] Hiroki Noguchi, “Which is the best dual port SRAM in 45nm process technology? 8T, 10T single end and 10T differential” Renesas Technology corporation, 2008. [9] S. Dutta, S. Nag, K. Roy, “ASAP: A transistor sizing tool for speed, area, and power optimization of static CMOS circuits”, IEEE International Symposium on Circuits and Systems, pp. 61-64, June, 1994. [10] Rajshekhar Keerthi ,Henry Chen, “Stability and static noise margin analysis of low power SRAM” IEEE International Instrumentation & Measurement Technology Conference, Victoria Canada, May 2008,pp-1541-1544. [11] A. P. Chandrakasan, S. Sheng, and R. W. Brod ersen, “Low-power CMOS digital design.” IEEE J. Solid-State Circ., vol. 27, no. 4, pp. 473-484, Apr. 1992. [12] J.Rabaey, “Digital Integrated Circuits, A Design Perspective”, Prentice Hall, Upper Saddle River,NJ, 1996.
  • 13. International Journal of VLSI design & Communication Systems (VLSICS) Vol.3, No.1, February 2012 109 Authors Sapna Singh received her B.Tech degree in Electronics and Communication Engineering from Kumaon Engineering College, Dwarahat in 2010 and presently pursuing M.Tech VLSI Design in Mody Institute of Technology and Science, Lakshmangarh. Her research interests are low power vlsi design and analog and digital integrated circuit design. Neha Arora was born in 1986. She received the B.E. degree in Electronics and Communication engineering from the Reputed College of Rajasthan University, India, in 2007, and recently completed the academics of M.Tech in VLSI Design from Faculty of Engineering & Technology, Mody Institute of Technology and Science in 2010. To share the knowledge that she gained and to show her abilities in teaching profession, she started to work as a lecturer in 2007. After gaining the experience of two years, she was promoted to Assistant Professor in 2009 with the Department of Electronics and Communication Engineering, at Mody Institute of Technology and Science (Deemed University).Her current research interests include low-voltage, low power, and high-performance integrated circuit design and simulation of Very large scale integrated circuits. She has more than 30 publications in international journals and Conferences in the areas of high performance integrated circuits and emerging semiconductor Technologies. Meenakshi Suthar received her B.Tech degree in Electronics and Communication Engineering from Marudhar Engineering College, Bikaner in 2010, and presently pursing M.Tech VLSI Design in Mody Institute of Technology and Science, Lakshmangarh. Her research interests are analog integrated circuit design and analog communication systems. Neha Gupta received her B.Tech degree in Electronics and Communication Engineering from Mody institute of Technology and Science, Lakshmangarh in 2009, and presently pursuing M.Tech VLSI Design in Mody Institute of Technology and Science, Lakshmangarh. Her research interests are analog integrated circuit design and high performance analog circuits.