SlideShare a Scribd company logo
1 of 29
Download to read offline
Single Ended Schmitt Trigger
Based Robust Low Power
SRAM Cell
Guide : Prof. Joycee Mekie
Students: Ishant Anand
Vishwanath Hiremath
Introduction
• SRAM makes up a large portion of a system-on-chip area, and most
of the time, it also dominates the overall performance of a system.
• Mobile devices and emerging applications such as implanted
medical instruments and wireless body sensing networks,
necessitates the requirement of low-power SRAMs.
• Design of robust low power SRAM in deep sub-micrometer
technology, near/sub-threshold operation considering increased
device variations, reduced design margins and leakage power has
drawn great research attention.
• Different configurations of SRAM cells, such as 7T, 8T, 9T and 10T
cells, have been proposed over the time with a prime focus of
improvement in RSNM, HSNM and read-write conflicts.
6T SRAM Cell
Write Operation in 6T SRAM Cell
Read Operation in 6T SRAM Cell
Schmitt Trigger (ST) Inverter
Proposed ST11T SRAM Cell Design
Proposed ST11T SRAM Cell Design
0 1
Proposed ST11T SRAM Cell Design
0 1
0
0
1
1
1 0
Proposed ST11T SRAM Cell Design
0 1
0
0
1
1
X
X
X
X
0
HOLD State
0 1
0
0
0
1
1
1
1
0
X
X
X
X
X
Write Operation
0 1
0
0
0
0
0
1
1
1
X
X
Write Operation
0 1
1
1
1
0
0
0
1
1
1
1
Write Operation
1
1
1
1
0
0
0
0
0
1
1 0
Write Operation
1
1
1
0
0
0
0
0
1
1 0
X
X
X
Write Operation
1
1
1
1
0
0
0
0
0
0
0
0
Write Operation
0
0
0
1
0
0
0
0
0
0
0 1
Write Operation
0
0
1
0
0
0
1
1
0
0
1
Read Operation
0 1
0
0
1
1
1
1
1
0
1 0
Read Operation
1 0
1
1
1
1
1
0
0
0
1
X
Cell Performance and Comparison
• Read stability: The proposed cell provides a 26% improvement in the mean
value of the RSNM as compared with the conventional 8T cell.
Comparison of RSNM of SRAM cells
• Write Ability: The write ability of an SRAM cell can be gauged in terms of
write margin. The proposed cell offers 1.85× and 1.20× higher write 0 and
write 1 margin, respectively, as compared with Chang 10T.
• Hold SNM: We observed that the ST-based cells have better HSNM as
compared with other cells due to improved characteristic of an ST inverter.
• Read Access Time(TRA): The TRA of ST11T Cell as compared with other
SRAM Cell which have similar read path are found to have almost the
same amount of TRA.
• Write Access Time(TWA): The ST11T Cell depicts very high TWA for
write 1, due to its single ended architecture as compared with ST1 and ST2
where writing is differential. Whereas, for ST11T shows lower TWA for
write 0 as compared with Chang 10T.
Critical Review
• The single-ended scheme reduces one half of the active power for BL
switching. However, it incurs penalty in terms of increased read-/write-
access time and degraded write 1 SNM at low supply voltages. This is the
major limitation of a single-ended SRAM structure.
• The working of the SRAM cell along with the simulation result, timing
diagram and comparison with the standard cells in terms of exhaustive list
of performance parameter has been presented well.
• The proposed bitcell employs read buffers to decouple storage nodes (Q
and QB) from BL to eliminate read-disturb problem along with the
requirement of asymmetric write assist mechanism for single-ended
writing. Therefore, there is no transistor sizing conflict for read and write
operations.
• The purposed SRAM cell has 2.02 times area overhead and 6.9 times high
ION/ IOFF ratio as compared to 6T SRAM cell. Nevertheless, due to its
high RSNM and significant immunity to half select disturb issue, the cell
could be an attractive choice for low Power applications.
Performance Parameter 6T 11T
Write 0 delay 54.319 ps 4.747 ps
Write 1 delay 64.989 ps 187 ps
Read 0 Access Time 50 ps 93.46 ps
Read 1 Access Time - 10.029 ps
Read Margin 92.11 mV 232.56 mV
Write Margin 385.5 mV 421.34 mV
Hold Margin 372.09 mV 376.2 mV
Performance Comparison Table
Hold Noise Margin(6T) Hold Noise Margin(11T)
Read Noise Margin(6T) Read Noise Margin(11T)
Write Noise Margin(6T) Write Noise Margin(11T)
Write 0 and Read 0 Access time with input low = 300mv
Write 1 and Read 1 Access time with input high = 700mv

More Related Content

What's hot

Analog Layout design
Analog Layout design Analog Layout design
Analog Layout design slpinjare
 
Layout design on MICROWIND
Layout design on MICROWINDLayout design on MICROWIND
Layout design on MICROWINDvaibhav jindal
 
minimisation of crosstalk in VLSI routing
minimisation of crosstalk in VLSI routingminimisation of crosstalk in VLSI routing
minimisation of crosstalk in VLSI routingChandrajit Pal
 
Mos transistor
Mos transistorMos transistor
Mos transistorMurali Rai
 
Design and implementation of 4-bit binary weighted current steering DAC
Design and implementation of 4-bit binary weighted current steering DAC Design and implementation of 4-bit binary weighted current steering DAC
Design and implementation of 4-bit binary weighted current steering DAC IJECEIAES
 
Network analysis of rf and microwave circuits
Network analysis of rf and microwave circuitsNetwork analysis of rf and microwave circuits
Network analysis of rf and microwave circuitsShankar Gangaju
 
Design of CMOS operational Amplifiers using CADENCE
Design of CMOS operational Amplifiers using CADENCEDesign of CMOS operational Amplifiers using CADENCE
Design of CMOS operational Amplifiers using CADENCEnandivashishth
 
Bandgap Reference circuit Baased on FinFET Device
Bandgap Reference circuit Baased on FinFET DeviceBandgap Reference circuit Baased on FinFET Device
Bandgap Reference circuit Baased on FinFET DeviceYalagoud Patil
 
Threshold Voltage & Channel Length Modulation
Threshold Voltage & Channel Length ModulationThreshold Voltage & Channel Length Modulation
Threshold Voltage & Channel Length ModulationBulbul Brahma
 
Vlsi design mosfet
Vlsi design mosfetVlsi design mosfet
Vlsi design mosfetvennila12
 
MOSFET Discription Presentation
MOSFET Discription PresentationMOSFET Discription Presentation
MOSFET Discription PresentationPrashant Soni
 
Pn junction diode by sarmad baloch
Pn junction diode by sarmad balochPn junction diode by sarmad baloch
Pn junction diode by sarmad balochSarmad Baloch
 

What's hot (20)

Analog Layout design
Analog Layout design Analog Layout design
Analog Layout design
 
Layouts
LayoutsLayouts
Layouts
 
Layout design on MICROWIND
Layout design on MICROWINDLayout design on MICROWIND
Layout design on MICROWIND
 
minimisation of crosstalk in VLSI routing
minimisation of crosstalk in VLSI routingminimisation of crosstalk in VLSI routing
minimisation of crosstalk in VLSI routing
 
Mos transistor
Mos transistorMos transistor
Mos transistor
 
S parameters
S parametersS parameters
S parameters
 
Design and implementation of 4-bit binary weighted current steering DAC
Design and implementation of 4-bit binary weighted current steering DAC Design and implementation of 4-bit binary weighted current steering DAC
Design and implementation of 4-bit binary weighted current steering DAC
 
Network analysis of rf and microwave circuits
Network analysis of rf and microwave circuitsNetwork analysis of rf and microwave circuits
Network analysis of rf and microwave circuits
 
Layout02 (1)
Layout02 (1)Layout02 (1)
Layout02 (1)
 
Second order effects
Second order effectsSecond order effects
Second order effects
 
Design of CMOS operational Amplifiers using CADENCE
Design of CMOS operational Amplifiers using CADENCEDesign of CMOS operational Amplifiers using CADENCE
Design of CMOS operational Amplifiers using CADENCE
 
Mosfet
MosfetMosfet
Mosfet
 
Analog VLSI Design
Analog VLSI DesignAnalog VLSI Design
Analog VLSI Design
 
Bandgap Reference circuit Baased on FinFET Device
Bandgap Reference circuit Baased on FinFET DeviceBandgap Reference circuit Baased on FinFET Device
Bandgap Reference circuit Baased on FinFET Device
 
Threshold Voltage & Channel Length Modulation
Threshold Voltage & Channel Length ModulationThreshold Voltage & Channel Length Modulation
Threshold Voltage & Channel Length Modulation
 
Vlsi design mosfet
Vlsi design mosfetVlsi design mosfet
Vlsi design mosfet
 
EC6202 ELECTRONIC DEVICES AND CIRCUITS NOTES
EC6202 ELECTRONIC DEVICES AND CIRCUITS NOTESEC6202 ELECTRONIC DEVICES AND CIRCUITS NOTES
EC6202 ELECTRONIC DEVICES AND CIRCUITS NOTES
 
MOSFET Discription Presentation
MOSFET Discription PresentationMOSFET Discription Presentation
MOSFET Discription Presentation
 
Rc delay modelling in vlsi
Rc delay modelling in vlsiRc delay modelling in vlsi
Rc delay modelling in vlsi
 
Pn junction diode by sarmad baloch
Pn junction diode by sarmad balochPn junction diode by sarmad baloch
Pn junction diode by sarmad baloch
 

Viewers also liked

Write stability analysis of 8 t novel sram cell
Write stability analysis of 8 t novel sram cellWrite stability analysis of 8 t novel sram cell
Write stability analysis of 8 t novel sram cellMr Santosh Kumar Chhotray
 
SRAM- Ultra low voltage operation
SRAM- Ultra low voltage operationSRAM- Ultra low voltage operation
SRAM- Ultra low voltage operationTeam-VLSI-ITMU
 
Project Report Of SRAM Design
Project Report Of SRAM DesignProject Report Of SRAM Design
Project Report Of SRAM DesignAalay Kapadia
 
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...IOSR Journals
 
Summary Of Course Projects
Summary Of Course ProjectsSummary Of Course Projects
Summary Of Course Projectsawan2008
 
A Single-Ended With Dynamic Feedback Control 8T Subthreshold SRAM Cell
A Single-Ended With Dynamic Feedback Control 8T Subthreshold SRAM Cell A Single-Ended With Dynamic Feedback Control 8T Subthreshold SRAM Cell
A Single-Ended With Dynamic Feedback Control 8T Subthreshold SRAM Cell Ieee Xpert
 
International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)IJERD Editor
 
FPGA IMPLEMENTATION OF LOW POWER SRAM BASED PROCESSOR IN 8T USING HETTS
FPGA IMPLEMENTATION OF LOW POWER SRAM BASED PROCESSOR IN 8T USING HETTSFPGA IMPLEMENTATION OF LOW POWER SRAM BASED PROCESSOR IN 8T USING HETTS
FPGA IMPLEMENTATION OF LOW POWER SRAM BASED PROCESSOR IN 8T USING HETTSEditor IJMTER
 
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...IJERD Editor
 
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...IOSR Journals
 
Track e low voltage sram - adam teman bgu
Track e   low voltage sram - adam teman bguTrack e   low voltage sram - adam teman bgu
Track e low voltage sram - adam teman bguchiportal
 
MTJ-Based Nonvolatile 9T SRAM Cell
MTJ-Based Nonvolatile 9T SRAM CellMTJ-Based Nonvolatile 9T SRAM Cell
MTJ-Based Nonvolatile 9T SRAM Cellidescitation
 
A 128 kbit sram with an embedded energy monitoring circuit and sense amplifie...
A 128 kbit sram with an embedded energy monitoring circuit and sense amplifie...A 128 kbit sram with an embedded energy monitoring circuit and sense amplifie...
A 128 kbit sram with an embedded energy monitoring circuit and sense amplifie...Grace Abraham
 
Sram Eagle™ 1x Drivetrains | Hargroves Cycles
Sram Eagle™ 1x Drivetrains | Hargroves CyclesSram Eagle™ 1x Drivetrains | Hargroves Cycles
Sram Eagle™ 1x Drivetrains | Hargroves CyclesHargroves Cycles
 
04 Mcu Day Stellaris 8 12b Editado
04   Mcu Day   Stellaris 8 12b   Editado04   Mcu Day   Stellaris 8 12b   Editado
04 Mcu Day Stellaris 8 12b EditadoTexas Instruments
 
VLSI IEEE PROJECTS LIST 2015 - 2016 / IEEE VLSI PROJECTS LIST 2015 - 2016
VLSI IEEE PROJECTS LIST 2015 - 2016 / IEEE VLSI PROJECTS LIST 2015 - 2016VLSI IEEE PROJECTS LIST 2015 - 2016 / IEEE VLSI PROJECTS LIST 2015 - 2016
VLSI IEEE PROJECTS LIST 2015 - 2016 / IEEE VLSI PROJECTS LIST 2015 - 2016CLAROZONTECHNOLOGIES
 

Viewers also liked (20)

Write stability analysis of 8 t novel sram cell
Write stability analysis of 8 t novel sram cellWrite stability analysis of 8 t novel sram cell
Write stability analysis of 8 t novel sram cell
 
SRAM- Ultra low voltage operation
SRAM- Ultra low voltage operationSRAM- Ultra low voltage operation
SRAM- Ultra low voltage operation
 
Project Report Of SRAM Design
Project Report Of SRAM DesignProject Report Of SRAM Design
Project Report Of SRAM Design
 
SRAM
SRAMSRAM
SRAM
 
SRAM Design
SRAM DesignSRAM Design
SRAM Design
 
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
 
Low power schmitt trigger
Low power schmitt triggerLow power schmitt trigger
Low power schmitt trigger
 
Summary Of Course Projects
Summary Of Course ProjectsSummary Of Course Projects
Summary Of Course Projects
 
A Single-Ended With Dynamic Feedback Control 8T Subthreshold SRAM Cell
A Single-Ended With Dynamic Feedback Control 8T Subthreshold SRAM Cell A Single-Ended With Dynamic Feedback Control 8T Subthreshold SRAM Cell
A Single-Ended With Dynamic Feedback Control 8T Subthreshold SRAM Cell
 
International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)
 
FPGA IMPLEMENTATION OF LOW POWER SRAM BASED PROCESSOR IN 8T USING HETTS
FPGA IMPLEMENTATION OF LOW POWER SRAM BASED PROCESSOR IN 8T USING HETTSFPGA IMPLEMENTATION OF LOW POWER SRAM BASED PROCESSOR IN 8T USING HETTS
FPGA IMPLEMENTATION OF LOW POWER SRAM BASED PROCESSOR IN 8T USING HETTS
 
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
IJERD (www.ijerd.com) International Journal of Engineering Research and Devel...
 
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
 
Track e low voltage sram - adam teman bgu
Track e   low voltage sram - adam teman bguTrack e   low voltage sram - adam teman bgu
Track e low voltage sram - adam teman bgu
 
MTJ-Based Nonvolatile 9T SRAM Cell
MTJ-Based Nonvolatile 9T SRAM CellMTJ-Based Nonvolatile 9T SRAM Cell
MTJ-Based Nonvolatile 9T SRAM Cell
 
A 128 kbit sram with an embedded energy monitoring circuit and sense amplifie...
A 128 kbit sram with an embedded energy monitoring circuit and sense amplifie...A 128 kbit sram with an embedded energy monitoring circuit and sense amplifie...
A 128 kbit sram with an embedded energy monitoring circuit and sense amplifie...
 
Sram Eagle™ 1x Drivetrains | Hargroves Cycles
Sram Eagle™ 1x Drivetrains | Hargroves CyclesSram Eagle™ 1x Drivetrains | Hargroves Cycles
Sram Eagle™ 1x Drivetrains | Hargroves Cycles
 
04 Mcu Day Stellaris 8 12b Editado
04   Mcu Day   Stellaris 8 12b   Editado04   Mcu Day   Stellaris 8 12b   Editado
04 Mcu Day Stellaris 8 12b Editado
 
Sram pdf
Sram pdfSram pdf
Sram pdf
 
VLSI IEEE PROJECTS LIST 2015 - 2016 / IEEE VLSI PROJECTS LIST 2015 - 2016
VLSI IEEE PROJECTS LIST 2015 - 2016 / IEEE VLSI PROJECTS LIST 2015 - 2016VLSI IEEE PROJECTS LIST 2015 - 2016 / IEEE VLSI PROJECTS LIST 2015 - 2016
VLSI IEEE PROJECTS LIST 2015 - 2016 / IEEE VLSI PROJECTS LIST 2015 - 2016
 

Similar to Single Ended Schmitt Trigger Based Robust Low Power SRAM Cell

10T Dual-voltage Low Power SRAM Project Report
10T Dual-voltage Low Power SRAM Project Report10T Dual-voltage Low Power SRAM Project Report
10T Dual-voltage Low Power SRAM Project ReportJie Song
 
Design and Simulation Low power SRAM Circuits
Design and Simulation Low power SRAM CircuitsDesign and Simulation Low power SRAM Circuits
Design and Simulation Low power SRAM Circuitsijsrd.com
 
Sram memory design
Sram memory designSram memory design
Sram memory designNIT Goa
 
IRJET- Design of Energy Efficient 8T SRAM Cell at 90nm Technology
IRJET-  	  Design of Energy Efficient 8T SRAM Cell at 90nm TechnologyIRJET-  	  Design of Energy Efficient 8T SRAM Cell at 90nm Technology
IRJET- Design of Energy Efficient 8T SRAM Cell at 90nm TechnologyIRJET Journal
 
250nm Technology Based Low Power SRAM Memory
250nm Technology Based Low Power SRAM Memory250nm Technology Based Low Power SRAM Memory
250nm Technology Based Low Power SRAM Memoryiosrjce
 
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...VLSICS Design
 
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...IJECEIAES
 
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...iosrjce
 
ZEROTH REVIEW ppt.pptx
ZEROTH REVIEW ppt.pptxZEROTH REVIEW ppt.pptx
ZEROTH REVIEW ppt.pptxSiddhu0072
 
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram CellA Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram CellIJERA Editor
 
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...VLSICS Design
 
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...VLSICS Design
 
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...VLSICS Design
 
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...VLSICS Design
 
IRJET- Comparative Analysis of High Speed SRAM Cell for 90nm CMOS Technology
IRJET- Comparative Analysis of High Speed SRAM Cell for 90nm CMOS TechnologyIRJET- Comparative Analysis of High Speed SRAM Cell for 90nm CMOS Technology
IRJET- Comparative Analysis of High Speed SRAM Cell for 90nm CMOS TechnologyIRJET Journal
 
SINGLE-PORT FIVE-TRANSISTOR SRAM CELL WITH REDUCED LEAKAGE CURRENT IN STANDBY
SINGLE-PORT FIVE-TRANSISTOR SRAM CELL WITH REDUCED LEAKAGE CURRENT IN STANDBYSINGLE-PORT FIVE-TRANSISTOR SRAM CELL WITH REDUCED LEAKAGE CURRENT IN STANDBY
SINGLE-PORT FIVE-TRANSISTOR SRAM CELL WITH REDUCED LEAKAGE CURRENT IN STANDBYVLSICS Design
 

Similar to Single Ended Schmitt Trigger Based Robust Low Power SRAM Cell (20)

10T Dual-voltage Low Power SRAM Project Report
10T Dual-voltage Low Power SRAM Project Report10T Dual-voltage Low Power SRAM Project Report
10T Dual-voltage Low Power SRAM Project Report
 
Design and Simulation Low power SRAM Circuits
Design and Simulation Low power SRAM CircuitsDesign and Simulation Low power SRAM Circuits
Design and Simulation Low power SRAM Circuits
 
Sram memory design
Sram memory designSram memory design
Sram memory design
 
IRJET- Design of Energy Efficient 8T SRAM Cell at 90nm Technology
IRJET-  	  Design of Energy Efficient 8T SRAM Cell at 90nm TechnologyIRJET-  	  Design of Energy Efficient 8T SRAM Cell at 90nm Technology
IRJET- Design of Energy Efficient 8T SRAM Cell at 90nm Technology
 
Kc2517811784
Kc2517811784Kc2517811784
Kc2517811784
 
Kc2517811784
Kc2517811784Kc2517811784
Kc2517811784
 
250nm Technology Based Low Power SRAM Memory
250nm Technology Based Low Power SRAM Memory250nm Technology Based Low Power SRAM Memory
250nm Technology Based Low Power SRAM Memory
 
Fj3110731078
Fj3110731078Fj3110731078
Fj3110731078
 
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...
 
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
 
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
 
ZEROTH REVIEW ppt.pptx
ZEROTH REVIEW ppt.pptxZEROTH REVIEW ppt.pptx
ZEROTH REVIEW ppt.pptx
 
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram CellA Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
 
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...
 
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...
 
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...
 
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...
STATIC NOISE MARGIN OPTIMIZED 11NM SHORTED-GATE AND INDEPENDENT-GATE LOW POWE...
 
Ijetcas14 542
Ijetcas14 542Ijetcas14 542
Ijetcas14 542
 
IRJET- Comparative Analysis of High Speed SRAM Cell for 90nm CMOS Technology
IRJET- Comparative Analysis of High Speed SRAM Cell for 90nm CMOS TechnologyIRJET- Comparative Analysis of High Speed SRAM Cell for 90nm CMOS Technology
IRJET- Comparative Analysis of High Speed SRAM Cell for 90nm CMOS Technology
 
SINGLE-PORT FIVE-TRANSISTOR SRAM CELL WITH REDUCED LEAKAGE CURRENT IN STANDBY
SINGLE-PORT FIVE-TRANSISTOR SRAM CELL WITH REDUCED LEAKAGE CURRENT IN STANDBYSINGLE-PORT FIVE-TRANSISTOR SRAM CELL WITH REDUCED LEAKAGE CURRENT IN STANDBY
SINGLE-PORT FIVE-TRANSISTOR SRAM CELL WITH REDUCED LEAKAGE CURRENT IN STANDBY
 

Recently uploaded

Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...Dr.Costas Sachpazis
 
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escortsranjana rawat
 
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...ranjana rawat
 
Software Development Life Cycle By Team Orange (Dept. of Pharmacy)
Software Development Life Cycle By  Team Orange (Dept. of Pharmacy)Software Development Life Cycle By  Team Orange (Dept. of Pharmacy)
Software Development Life Cycle By Team Orange (Dept. of Pharmacy)Suman Mia
 
Coefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptxCoefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptxAsutosh Ranjan
 
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICSAPPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICSKurinjimalarL3
 
What are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxWhat are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxwendy cai
 
College Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service NashikCollege Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service NashikCall Girls in Nagpur High Profile
 
chaitra-1.pptx fake news detection using machine learning
chaitra-1.pptx  fake news detection using machine learningchaitra-1.pptx  fake news detection using machine learning
chaitra-1.pptx fake news detection using machine learningmisbanausheenparvam
 
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Serviceranjana rawat
 
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxDecoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxJoão Esperancinha
 
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICS
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICSHARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICS
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICSRajkumarAkumalla
 
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...ranjana rawat
 
Extrusion Processes and Their Limitations
Extrusion Processes and Their LimitationsExtrusion Processes and Their Limitations
Extrusion Processes and Their Limitations120cr0395
 
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur EscortsCall Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur High Profile
 
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝soniya singh
 
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escortsranjana rawat
 
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINEMANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINESIVASHANKAR N
 
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...ranjana rawat
 

Recently uploaded (20)

Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
 
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
 
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
 
Software Development Life Cycle By Team Orange (Dept. of Pharmacy)
Software Development Life Cycle By  Team Orange (Dept. of Pharmacy)Software Development Life Cycle By  Team Orange (Dept. of Pharmacy)
Software Development Life Cycle By Team Orange (Dept. of Pharmacy)
 
DJARUM4D - SLOT GACOR ONLINE | SLOT DEMO ONLINE
DJARUM4D - SLOT GACOR ONLINE | SLOT DEMO ONLINEDJARUM4D - SLOT GACOR ONLINE | SLOT DEMO ONLINE
DJARUM4D - SLOT GACOR ONLINE | SLOT DEMO ONLINE
 
Coefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptxCoefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptx
 
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICSAPPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
 
What are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxWhat are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptx
 
College Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service NashikCollege Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
 
chaitra-1.pptx fake news detection using machine learning
chaitra-1.pptx  fake news detection using machine learningchaitra-1.pptx  fake news detection using machine learning
chaitra-1.pptx fake news detection using machine learning
 
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
(RIA) Call Girls Bhosari ( 7001035870 ) HI-Fi Pune Escorts Service
 
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxDecoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
 
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICS
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICSHARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICS
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICS
 
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
 
Extrusion Processes and Their Limitations
Extrusion Processes and Their LimitationsExtrusion Processes and Their Limitations
Extrusion Processes and Their Limitations
 
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur EscortsCall Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
 
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
 
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
 
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINEMANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
 
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
 

Single Ended Schmitt Trigger Based Robust Low Power SRAM Cell

  • 1. Single Ended Schmitt Trigger Based Robust Low Power SRAM Cell Guide : Prof. Joycee Mekie Students: Ishant Anand Vishwanath Hiremath
  • 2. Introduction • SRAM makes up a large portion of a system-on-chip area, and most of the time, it also dominates the overall performance of a system. • Mobile devices and emerging applications such as implanted medical instruments and wireless body sensing networks, necessitates the requirement of low-power SRAMs. • Design of robust low power SRAM in deep sub-micrometer technology, near/sub-threshold operation considering increased device variations, reduced design margins and leakage power has drawn great research attention. • Different configurations of SRAM cells, such as 7T, 8T, 9T and 10T cells, have been proposed over the time with a prime focus of improvement in RSNM, HSNM and read-write conflicts.
  • 4. Write Operation in 6T SRAM Cell
  • 5. Read Operation in 6T SRAM Cell
  • 7. Proposed ST11T SRAM Cell Design
  • 8. Proposed ST11T SRAM Cell Design 0 1
  • 9. Proposed ST11T SRAM Cell Design 0 1 0 0 1 1 1 0
  • 10. Proposed ST11T SRAM Cell Design 0 1 0 0 1 1 X X X X 0
  • 21. Cell Performance and Comparison • Read stability: The proposed cell provides a 26% improvement in the mean value of the RSNM as compared with the conventional 8T cell. Comparison of RSNM of SRAM cells
  • 22. • Write Ability: The write ability of an SRAM cell can be gauged in terms of write margin. The proposed cell offers 1.85× and 1.20× higher write 0 and write 1 margin, respectively, as compared with Chang 10T. • Hold SNM: We observed that the ST-based cells have better HSNM as compared with other cells due to improved characteristic of an ST inverter. • Read Access Time(TRA): The TRA of ST11T Cell as compared with other SRAM Cell which have similar read path are found to have almost the same amount of TRA. • Write Access Time(TWA): The ST11T Cell depicts very high TWA for write 1, due to its single ended architecture as compared with ST1 and ST2 where writing is differential. Whereas, for ST11T shows lower TWA for write 0 as compared with Chang 10T.
  • 23. Critical Review • The single-ended scheme reduces one half of the active power for BL switching. However, it incurs penalty in terms of increased read-/write- access time and degraded write 1 SNM at low supply voltages. This is the major limitation of a single-ended SRAM structure. • The working of the SRAM cell along with the simulation result, timing diagram and comparison with the standard cells in terms of exhaustive list of performance parameter has been presented well. • The proposed bitcell employs read buffers to decouple storage nodes (Q and QB) from BL to eliminate read-disturb problem along with the requirement of asymmetric write assist mechanism for single-ended writing. Therefore, there is no transistor sizing conflict for read and write operations. • The purposed SRAM cell has 2.02 times area overhead and 6.9 times high ION/ IOFF ratio as compared to 6T SRAM cell. Nevertheless, due to its high RSNM and significant immunity to half select disturb issue, the cell could be an attractive choice for low Power applications.
  • 24. Performance Parameter 6T 11T Write 0 delay 54.319 ps 4.747 ps Write 1 delay 64.989 ps 187 ps Read 0 Access Time 50 ps 93.46 ps Read 1 Access Time - 10.029 ps Read Margin 92.11 mV 232.56 mV Write Margin 385.5 mV 421.34 mV Hold Margin 372.09 mV 376.2 mV Performance Comparison Table
  • 25. Hold Noise Margin(6T) Hold Noise Margin(11T)
  • 26. Read Noise Margin(6T) Read Noise Margin(11T)
  • 27. Write Noise Margin(6T) Write Noise Margin(11T)
  • 28. Write 0 and Read 0 Access time with input low = 300mv
  • 29. Write 1 and Read 1 Access time with input high = 700mv