SlideShare a Scribd company logo
1 of 8
Download to read offline
Aastha Singh et al. Int. Journal of Engineering Research and Applications www.ijera.com
ISSN : 2248-9622, Vol. 5, Issue 4, ( Part -6) April 2015, pp.120-127
www.ijera.com 120 | P a g e
A Comparitive Analysis of Improved 6t Sram Cell With Different
Sram Cell
Aastha Singh1
, Preet Jain1
, Tarun Kumar Gupta2
1
Department of Electronics, SVITS, Indore, India
2
Department of Electronics, MANIT, Bhopal, India
Abstract
High speed and low power consumption have been the primary issue to design Static Random Access Memory
(SRAM), but we are facing new challenges with the scaling of technology. The stability and speed of SRAM are
important issues to improve efficiency and performance of the system. Stability of the SRAM depends on the
static noise margin (SNM) so the noise margin is also important parameter for the design of memory because
the higher noise margin confirms the high speed of the SRAM cell. In this paper, the improved 6T SRAM cell
shows maximum reduction in power consumption of 88%, maximum reduction in delay of 64% and maximum
SNM of 17% increases compared with 7T SRAM cell.
Keywords- Cadence tool, CMOS logic, Static Noise Margin, Delay and Power Consumption.
I. INTRODUCTION
Static Random Access Memory is a type of
RAM used in various electronic applications
including toys, computers, digital devices and
automobiles. The static RAM or SRAM only holds
its contents while power is applied. An SRAM cell
keeps the cell data for as long as the power is turned
on since it consists of a latch and refresh operation is
not required for the SRAM cell. Its difference from
dynamic RAM is that DRAM must use refresh cycles
to keep its contents alive. As indicated by the name,
SRAM holds data/memory as a static image until
written over or lost from powering down. SRAM is
mainly used for the cache memory in
microprocessors, mainframe computers, engineering
workstations and memory in hand held devices due to
high speed and low power consumption. Each bit in
an SRAM is stored on four transistors that form two
cross coupled inverters. Static Random Access
Memories (SRAMs) are commonly embedded into
system-on-chip (SoC) designs to store programs and
data. Many efforts have been made to improve the
efficiency of the SRAM. Improvements are reducing
delay, power consumption and increasing stability. A
significant increase has been there in the demand for
low power and high performance digital VLSI
circuits. Designers are implementing very high-order
scaling of both device dimensions and supply
voltage. Transistor density and functionality on a
chip is improved by scaling. Scaling also helps to
increase speed and frequency of the operation and
hence higher performance. This paper is presented an
improved 6T SRAM cell that has low power
consumption & high static noise margin than the
other different SRAM cell.
II. THE LITERATURE REVIEW OF
DIFFERENT SRAM CELLS
2.1 6T SRAM CELL
A. Construction
Figure 1 Conventional 6T SRAM cell
The SRAM cell is the key component for storing
the binary information. By the use of two cross-
coupled inverters, a typical SRAM cell forms a latch
and access transistors. The access transistors enable
access to the cell during read and write operations
and provide cell isolation during the not-accessed
state. SRAM cell is designed to provide write
capability, non-destructive read access and data
storage (or data retention) for as long as cell is
powered. The design and analysis of different SRAM
cells are: Conventional 6T, 7T, 8T, 9T and improved
RESEARCH ARTICLE OPEN ACCESS
Aastha Singh et al. Int. Journal of Engineering Research and Applications www.ijera.com
ISSN : 2248-9622, Vol. 5, Issue 4, ( Part -6) April 2015, pp.120-127
www.ijera.com 121 | P a g e
6T. They are compared with respect to power, delay
and speed. Generally, the cell design must strike a
balance between cell area, speed, robustness, leakage
and yield. One of the most important design
objectives is power reduction. However, power
cannot be reduced indefinitely without compromising
the other parameters. As an example, low-power can
compromise the cell area and also the speed of
operations. The mainstream six-transistor (6T)
CMOS SRAM cell is shown in Figure -1, here four
transistors (M1−M4) comprise cross-coupled CMOS
inverters and two NMOS transistors M5 and M6
provide read and write access to the cell. The most
popular SRAM cell is a 6T CMOS SRAM cell due to
its superior robustness, low-voltage and low power
operation [9].
B. Operation of SRAM
The Static Random Access memory device can
perform the operation which is as follows: hold, read
and write.
a. Hold: The access transistors M5 and M6
disconnect the cell from the bit lines, if the word line
is not asserted. The two cross coupled inverters
formed by M1 – M4 will continue to reinforce each
other as long as they are connected to the supply.
b. Read:
Figure 2 Read Operation of SRAM Cell.
The bit lines are pre-charged to VDD prior to
initiating a read operation. The read operation is
initiated by enabling the word line (WL) and
connecting the pre-charged bit lines (BL_1 and
BL_2) to the internal nodes of the cell. On read
access, the bit line voltage VBL_1 remains at the pre-
charge level as shown in Figure-2. The
complementary bit line voltage VBL_2 is discharged
through transistors M1 and M5 connected in series.
Conclusively, transistors M1 and M5 form a voltage
divider whose output is now no longer at zero volts
and is connected to the input of inverter M2−M4 (as
in Figure -1). The sizing of M1 and M5 should ensure
that inverter M2−M4 do not switch causing a
destructive read [9].
c. Write:
Figure 3 Write Operation of SRAM Cell.
While the write operation one of the bit lines i.e.
BL_1 in Figure-3, is driven from pre-charged value
(VDD) to the ground potential by a write driver
through transistor M6. The cell is flipped and its data
is effectively overwritten if transistors M4 and M6
are properly sized. A statistical measure of SRAM
cell write ability is defined as write margin. The write
margin is defined as the minimum bit line voltage
required flipping the state of an SRAM cell. Write
margin value and variation is a function of the
process variation, SRAM array size and cell design.
A cell is considered not writeable if the worst-case
write margin becomes lower than the ground
potential. The write operation is applied to the node
storing a '1'. It is necessitated by the non-destructive
read constraint that ensures that a '0' node does not
exceed the switching threshold of inverter M2−M4.
The only function of pull-up transistors is to maintain
the high level on the '1' storage node and prevent its
discharge by the off state leakage current of the
driver transistor during data retention and to provide
the low-to-high transition during overwriting [13]
2.2 7T SRAM CELL
Figure 4 A 7T SRAM cell
Aastha Singh et al. Int. Journal of Engineering Research and Applications www.ijera.com
ISSN : 2248-9622, Vol. 5, Issue 4, ( Part -6) April 2015, pp.120-127
www.ijera.com 122 | P a g e
The circuit of 7T SRAM cell is made of two
CMOS inverters that are connected cross coupled to
each other with additional NMOS Transistor which is
connected to read line and has two pass NMOS
transistors connected to bit lines and bit line bar
respectively. Figure-4 shows circuit of 7T SRAM
cell, where the access transistors M5 is connected to
the word-line (WL) to perform the access write and
M6 is connected to the Read-line (R) to perform the
read operations thought the column bit-lines (BL_1
and BL_2). The bit-lines act as I/O nodes carrying
the data from SRAM cells to a sense amplifier during
read operation or from write in the memory cells
during write operations [12].
2.3 8T SRAM CELL
Figure 5 A 8T SRAM cell
The 8T SRAM circuit [13] is presented in this
section. The schematic of the 8T SRAM cell sized for
an 180nm CMOS technology is shown in Figure 5.
The left sub-circuit of the 8T memory cell is a
conventional 6T SRAM cell with minimum sized
devices (composed of M1, M2, M3, M4, M5, and
M6). Two data access transistors (M5 and M6) and
two bit lines (BL_1 and BL_2) are used for writing to
the SRAM cell. An alternative communication
channel (composed of a separate read bit line RBL
and the transistor stack formed byM7 and M8) is
used for reading the data from the cell.
Two separate control signals RWL and WL are
used for controlling the read and the write operations,
respectively, with the 8T SRAM circuit as shown in
Figure 5. During a read operation, the read signal
RWL transitions to VDD while the write signal WL
is maintained at VGND. The read bit line (RBL) is
conditionally discharged based on the data stored in
the SRAM cell. The storage nodes (Node1 and
Node2) are completely isolated from the bit lines
during a read operation. The data stability is thereby
significantly enhanced as compared to the
conventional 6T SRAM cells [13].
2.4 9T SRAM CELL
Figure 6 A 9T SRAM cell
A 9T SRAM cell is presented in figure-6. The
upper sub-circuit of the new memory cell is
essentially a 6T SRAM cell with minimum sized
devices (composed of M1, M2, M3, M4, M5, and
M6). The two write access transistors (M5 and M6)
are controlled by a write signal (WL). The data is
stored within this upper memory sub-circuit. The
lower sub-circuit of the new cell is composed of the
bit-line access transistors (M7 and M8) and the read
access transistor (M9). The operations of M7 and M8
are controlled by the data stored in the cell. M9 is
controlled by a separate read signal (RD). During a
write operation, WL signal transitions high while RD
is maintained low, as shown in Fig. 2(a). M9 is cut-
off. The two write access transistors M5 and M6 are
turned on. In order to write a “0” to Node1, BL_1 and
BL_2 are discharged and charged, respectively. A
“0” is forced into the SRAM cell through M5.
Alternatively, for writing a “0” to Node2, BL_1 and
BL_2 are charged and discharged, respectively. A
“0” is forced onto Node2 through M6. During a read
operation, RD signal transitions high while WL is
maintained low and the read access transistor M9 is
activated. Provided that Node1 stores “1”. BL is
discharged through M7 and M8. Alternatively,
provided that Node2 stores “1”, the complementary
bit line (BL_2) is discharged through M8 and M9.
Since M5 and M6 are cut-off, the storage nodes
Node1 and Node2 are completely isolated from the
bit lines during a read operation [14].
Aastha Singh et al. Int. Journal of Engineering Research and Applications www.ijera.com
ISSN : 2248-9622, Vol. 5, Issue 4, ( Part -6) April 2015, pp.120-127
www.ijera.com 123 | P a g e
III. IMPROVED 6T SRAM CELL
Figure 7 Improved 6T SRAM cell
The improved 6T SRAM cell is shown in Figure 7, it
consists of two additional PMOS and NMOS
transistor in which NMOS transistor is connected to
the pull down network of SRAM cell and other is
connected to the Pull up network of the SRAM cell.
The input of the gate of NMOS transistor of pull
down network of the SRAM cell is connected Vdd
and the input gate of PMOS transistor of pull up
network of the SRAM cell is connected to ground.
This whole modification in the circuit of the SRAM
offers the lower power consumption, less delay and
high SNM. This improved 6T SRAM cell shows
maximum reduction in power consumption of 88%,
maximum reduction in delay of 64% and maximum
SNM of 17% increases compared with 7T SRAM
cell. However, the read and write operations of given
circuit is same as that of conventional 6T CMOS
SRAM cell.
IV. SIMULATION AND RESULTS
The Simulation and result of the improved 6T
SRAM cell is presented in Table 1. In the following
subsections, three important metrics of an SRAM
design: Static noise margins, power, and delays are
explaining.
4.1 STATIC-NOISE-MARGIN (SNM)
The stability of SRAM circuit depends on the
Static Noise Margin. The basic SNM is obtained by
drawing and mirroring the inverter characteristics and
finding the maximum possible square between them.
It is a graphical technique of estimating the SNM.
Figure 8 The standard setup for SNM definition
Figure 9 General SNM characteristics during
Hold operation
The Figure-9 shows a common way of
representing the SNM graphically for a bit-cell
holding data. This figure plots the Voltage Transfer
Characteristic (VTC) of Inverter 2 (inv2) and the
inverse VTC-1 from Inverter 1(inv1). Resulting two-
lobed curve is called a 'butterfly curve' and is used to
determine the SNM. The length of the side of the
largest square that can be embedded inside the lobes
of the butterfly curve is defined as the SNM.
Consider the case when the value of the noise sources
with value Vn are introduced in the bit cell at each of
the internal nodes. When the value of Vn increases
from 0, it causes the VTC-1 for first inverter in
Figure-9 to move downward and the VTC for the
second inverter to move to the right. The values of
SNM vary in different operation modes. SNM is
becoming important factor to check the stability
during read operation. SRAM cell immunity to static
noise is measured in terms of SNM that quantifies the
maximum amount of voltage noise that can be
tolerated at the cross-inverters output nodes without
flipping the cell. The value of the SNM during cell
operation changes with any change in the noise.
Although the SNM is important during hold, the cell
stability during active operation represents a more
significant limitation to SRAM operation [8].
Aastha Singh et al. Int. Journal of Engineering Research and Applications www.ijera.com
ISSN : 2248-9622, Vol. 5, Issue 4, ( Part -6) April 2015, pp.120-127
www.ijera.com 124 | P a g e
Figure 10 Butterfly curve for SNM of 6T SRAM cell
Figure11 Butterfly curve for SNM of 7T SRAM cell
Figure 12 Butterfly curve for SNM of 8T SRAM cell
Figure 13 Butterfly curve for SNM of 9T SRAM cell
Figure 14 Butterfly curve for SNM of Improved 6T
SRAM cell
4.2- DELAY
The delays of SRAM are usually defined as the
time it takes to read or write a value from an SRAM
cell. While a node is switching, the delay is measured
as the time difference between 10% and 90% of the
voltage swing. As an example - if we are trying to
bring node A from 0V to 1V then the delay is the
time it takes for node A to go from 0.1V to 0.9V. In
case of a write operation, write delay is defined as the
time required for writing '0'to storage node 'L' from
the time when word Line is activated to the time
when 'L' falls to 10% of its initial high level. In the
same way, writing '1' to 'L' is defined from the time
when word Line is activated to the time when 'L' rises
to 90% of its full swing from its initial low level.
Write delay is approximately equal to the propagation
delay of inv2 and inv l [2].
Aastha Singh et al. Int. Journal of Engineering Research and Applications www.ijera.com
ISSN : 2248-9622, Vol. 5, Issue 4, ( Part -6) April 2015, pp.120-127
www.ijera.com 125 | P a g e
Figure 15 Simulated results for delay of 6T SRAM
cell
Figure 16 Simulation results for delay of 7T SRAM
cell
Figure 17 Simulation results for delay of 8T SRAM
cell
Figure 18 Simulated results for delay of 9T SRAM
cell
Figure 19 Simulation results for delay of improved
6T SRAM cell
4.3- POWER CONSUMPTION
The power consumption of Static Random
Access Memory cell depends on consumption of the
power which used to perform the operation of the
transistor [5]. Dynamic power consumption in
SRAMs is consumed due to the charging and
discharging capacitances during read and write
operation and during each cycle of SRAM particular
amount of Energy is drawn from the power supply
and dissipated. For each cycle the power
consumption is depended on the type of operation
(read or write). Also, when the capacitor charged
from GND to VDD and then discharged VDD to
GND, the amount of energy drawn from the power
supply and dissipated equals CLVDD
2
. The stored
energy on the capacitor CL with voltage VC equals ½
CLVC
2
. Therefore, each time the capacitor CL is
charged from VC to VDD and then discharged VDD to
VC [3]. A average power dissipation of any device
over one period can be obtained by following
expression [6].
Pav = [(1/T) 0∫T
I dt] × V
Aastha Singh et al. Int. Journal of Engineering Research and Applications www.ijera.com
ISSN : 2248-9622, Vol. 5, Issue 4, ( Part -6) April 2015, pp.120-127
www.ijera.com 126 | P a g e
Figure 20 Simulated results for power consumption
of 6T SRAM cell
Figure 21 Simulated results for power consumption
of 7T SRAM cell
Figure 22 Simulated results for power consumption
of 8T SRAM cell
Figure 23 Simulated results for power consumption
of 9T SRAM cell
Figure 24 Simulated results for power consumption
of improved 6T SRAM cell
Table 1 Comparison of power consumption, delay
and SNM.
Parameter 6T 7T 8T 9T Improvd
6T
Power
consumption
(µW)
1.597 10.63 1.68 1.86 1.2
Delay
( ps)
38.22 97.21 38.2 38.7 34.74
SNM
(in hold
mode)
1.21 1.22 1.23 1.22 1.43
V. CONCLUSION
In this paper, we have design and implemented a
low power, low delay and high SNM SRAM cell. We
have also analyzed the power consumption and delay
of improved 6T SRAM cell is less as compare to
conventional 6T, 7T, 8T, 9T SRAM cell. The
improved 6T SRAM cell is reducing the delay and
increasing Static Noise Margin (in hold mode). In
this paper, the improved 6T SRAM cell shows
maximum reduction in power consumption of 88%,
maximum reduction in delay of 64% and maximum
SNM of 17% increases compared with 7T SRAM
Aastha Singh et al. Int. Journal of Engineering Research and Applications www.ijera.com
ISSN : 2248-9622, Vol. 5, Issue 4, ( Part -6) April 2015, pp.120-127
www.ijera.com 127 | P a g e
cell. Therefore, improved 6T SRAM cell is useful for
high-speed and low power applications.
REFERENCE
[1] Ajoy C A, Arun Kumar, Anjo C A, Vignesh
Raja: “Design and Analysis of Low Power
Static RAM Using Cadence Tool in 180nm
Technology”, IJCST Vol. 5, SPL - 1, Jan -
March 2014.
[2] A. Islam and M. Hasan, Member: “Leakage
Characterization of 10T SRAM Cell”, IEEE
Transaction on Electron Device, Vol. 59,
March 2012.
[3] Sushil Bhushan, Shishir Rastogi, Mayank
Shastri: “High Density Four-Transistor
SRAM cell with low power consumption”,
IJCTA, Spt-Oct 2011.
[4] L. Chang: “An 8T-SRAM for Variability
Tolerance and Low-Voltage Operation in
High-Performance Caches”, IEEE Journal
of Solid-State Circuits, Vol. 43, April 2008.
[5] Shyam AKASHE, Sushil BHUSHAN,
Sanjay SHARMA: “High Density and Low
Leakage Current Based 5T SRAM Cell
Using 45 nm Technology”, Roman Journal
of Information Science and Technology
Volume 15, Number 2012.
[6] Kang S.-M., Leblebici Y., CMOS Digital
Integrated Circuits-Analysis and Design,
third edition, WCB McGraw-Hill, 2003.
[7] Ramy E. Aly, Md I. Faisal and Magdy A.
Bayoumi: “Novel 7T SRAM cell for low
power cache design”, 0-7803-9264-
71051$20.002005 IEEE.
[8] Nahid Rahman, B. P. Singh: “Design of Low
Power Sram Memory Using 8t Sram Cell”,
International Journal of Recent Technology
and Engineering, Volume-2, Issue-1, March
2013.
[9] Sunil Kumar Ojha & P.R. Vaya: “A Novel
Architecture of SRAM Cell for Low-Power
Application”, ISSN (Print): 2278-8948,
Volume-2, Issue-4, 2013.
[10] Michael C. Wang: “Low Power Dual Word
Line 6-Transistor”, Proceedings of the
World Congress on Engineering and
Computer Science 2009 Vol 1 WCECS
2009, October 2009.
[11] K. Dhanumjaya, Dr. MN. Giri Prasad, Dr.
K. Padmaraju , Dr. M. Raja Reddy: “Design
of Low Power SRAM in 45 nm CMOS
Technology”, International Journal of
Engineering Research and Applications
(IJERA), Vol. 1, Issue 4, pp.2040-2045.
[12] Pankaj Agarwal, Nikhil Saxena: “Low
Power Design and Simulation of 7T SRAM
Cell using various Circuit Techniques”
International Journal of Engineering Trends
and Technology (IJETT), Vol. 4, May 2013.
[13] Sherif A.Tawfik and Volkan Kursun:
“Stability Enhancement Techniques for
Nanoscale SRAM Circuits: A Comparison”,
International SoC Design Conference, 2008.
[14] Zhiyu Liu and Volkan Kursun:
“Characterization of a Novel Nine-
Transistor SRAM Cell” IEEE Transaction on
Very Large Scale Integration (VLSI)
System, Vol. 16, April 2008.

More Related Content

What's hot

The International Journal of Engineering and Science (The IJES)
The International Journal of Engineering and Science (The IJES)The International Journal of Engineering and Science (The IJES)
The International Journal of Engineering and Science (The IJES)theijes
 
PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...
PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...
PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...VLSICS Design
 
Single Ended Schmitt Trigger Based Robust Low Power SRAM Cell
Single Ended Schmitt Trigger Based Robust Low Power SRAM CellSingle Ended Schmitt Trigger Based Robust Low Power SRAM Cell
Single Ended Schmitt Trigger Based Robust Low Power SRAM CellVishwanath Hiremath
 
Implementation of High Reliable 6T SRAM Cell Design
Implementation of High Reliable 6T SRAM Cell DesignImplementation of High Reliable 6T SRAM Cell Design
Implementation of High Reliable 6T SRAM Cell Designiosrjce
 
Project Report Of SRAM Design
Project Report Of SRAM DesignProject Report Of SRAM Design
Project Report Of SRAM DesignAalay Kapadia
 
An Innovative Design solution for minimizing Power Dissipation in SRAM Cell
An Innovative Design solution for minimizing Power Dissipation in SRAM CellAn Innovative Design solution for minimizing Power Dissipation in SRAM Cell
An Innovative Design solution for minimizing Power Dissipation in SRAM CellIJERA Editor
 
SRAM- Ultra low voltage operation
SRAM- Ultra low voltage operationSRAM- Ultra low voltage operation
SRAM- Ultra low voltage operationTeam-VLSI-ITMU
 
Track e low voltage sram - adam teman bgu
Track e   low voltage sram - adam teman bguTrack e   low voltage sram - adam teman bgu
Track e low voltage sram - adam teman bguchiportal
 
IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...
IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...
IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...IRJET Journal
 
A Single-Ended With Dynamic Feedback Control 8T Subthreshold SRAM Cell
A Single-Ended With Dynamic Feedback Control 8T Subthreshold SRAM Cell A Single-Ended With Dynamic Feedback Control 8T Subthreshold SRAM Cell
A Single-Ended With Dynamic Feedback Control 8T Subthreshold SRAM Cell Ieee Xpert
 
Static-Noise-Margin Analysis of Modified 6T SRAM Cell during Read Operation
Static-Noise-Margin Analysis of Modified 6T SRAM Cell during Read OperationStatic-Noise-Margin Analysis of Modified 6T SRAM Cell during Read Operation
Static-Noise-Margin Analysis of Modified 6T SRAM Cell during Read Operationidescitation
 
IRJET- Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power Applications
IRJET-  	  Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power ApplicationsIRJET-  	  Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power Applications
IRJET- Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power ApplicationsIRJET Journal
 
IRJET- Comparative Analysis of High Speed SRAM Cell for 90nm CMOS Technology
IRJET- Comparative Analysis of High Speed SRAM Cell for 90nm CMOS TechnologyIRJET- Comparative Analysis of High Speed SRAM Cell for 90nm CMOS Technology
IRJET- Comparative Analysis of High Speed SRAM Cell for 90nm CMOS TechnologyIRJET Journal
 
Tsp cmc 46872
Tsp cmc 46872Tsp cmc 46872
Tsp cmc 46872RoobanS4
 

What's hot (18)

The International Journal of Engineering and Science (The IJES)
The International Journal of Engineering and Science (The IJES)The International Journal of Engineering and Science (The IJES)
The International Journal of Engineering and Science (The IJES)
 
PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...
PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...
PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...
 
Kc2517811784
Kc2517811784Kc2517811784
Kc2517811784
 
Single Ended Schmitt Trigger Based Robust Low Power SRAM Cell
Single Ended Schmitt Trigger Based Robust Low Power SRAM CellSingle Ended Schmitt Trigger Based Robust Low Power SRAM Cell
Single Ended Schmitt Trigger Based Robust Low Power SRAM Cell
 
Implementation of High Reliable 6T SRAM Cell Design
Implementation of High Reliable 6T SRAM Cell DesignImplementation of High Reliable 6T SRAM Cell Design
Implementation of High Reliable 6T SRAM Cell Design
 
Sram pdf
Sram pdfSram pdf
Sram pdf
 
Project Report Of SRAM Design
Project Report Of SRAM DesignProject Report Of SRAM Design
Project Report Of SRAM Design
 
An Innovative Design solution for minimizing Power Dissipation in SRAM Cell
An Innovative Design solution for minimizing Power Dissipation in SRAM CellAn Innovative Design solution for minimizing Power Dissipation in SRAM Cell
An Innovative Design solution for minimizing Power Dissipation in SRAM Cell
 
SRAM- Ultra low voltage operation
SRAM- Ultra low voltage operationSRAM- Ultra low voltage operation
SRAM- Ultra low voltage operation
 
Memory base
Memory baseMemory base
Memory base
 
Track e low voltage sram - adam teman bgu
Track e   low voltage sram - adam teman bguTrack e   low voltage sram - adam teman bgu
Track e low voltage sram - adam teman bgu
 
IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...
IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...
IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...
 
A Single-Ended With Dynamic Feedback Control 8T Subthreshold SRAM Cell
A Single-Ended With Dynamic Feedback Control 8T Subthreshold SRAM Cell A Single-Ended With Dynamic Feedback Control 8T Subthreshold SRAM Cell
A Single-Ended With Dynamic Feedback Control 8T Subthreshold SRAM Cell
 
Static-Noise-Margin Analysis of Modified 6T SRAM Cell during Read Operation
Static-Noise-Margin Analysis of Modified 6T SRAM Cell during Read OperationStatic-Noise-Margin Analysis of Modified 6T SRAM Cell during Read Operation
Static-Noise-Margin Analysis of Modified 6T SRAM Cell during Read Operation
 
IRJET- Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power Applications
IRJET-  	  Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power ApplicationsIRJET-  	  Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power Applications
IRJET- Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power Applications
 
IRJET- Comparative Analysis of High Speed SRAM Cell for 90nm CMOS Technology
IRJET- Comparative Analysis of High Speed SRAM Cell for 90nm CMOS TechnologyIRJET- Comparative Analysis of High Speed SRAM Cell for 90nm CMOS Technology
IRJET- Comparative Analysis of High Speed SRAM Cell for 90nm CMOS Technology
 
SRAM
SRAMSRAM
SRAM
 
Tsp cmc 46872
Tsp cmc 46872Tsp cmc 46872
Tsp cmc 46872
 

Viewers also liked

250nm Technology Based Low Power SRAM Memory
250nm Technology Based Low Power SRAM Memory250nm Technology Based Low Power SRAM Memory
250nm Technology Based Low Power SRAM Memoryiosrjce
 
A 128 kbit sram with an embedded energy monitoring circuit and sense amplifie...
A 128 kbit sram with an embedded energy monitoring circuit and sense amplifie...A 128 kbit sram with an embedded energy monitoring circuit and sense amplifie...
A 128 kbit sram with an embedded energy monitoring circuit and sense amplifie...Grace Abraham
 
Semiconductor memories
Semiconductor memoriesSemiconductor memories
Semiconductor memoriesSambitShreeman
 
A Design Technique To Reduce Nbti Effects From 5t Sram Cells
A Design Technique To Reduce Nbti Effects From 5t Sram CellsA Design Technique To Reduce Nbti Effects From 5t Sram Cells
A Design Technique To Reduce Nbti Effects From 5t Sram CellsIJERA Editor
 
Muzeul civilizatiei urbane brasov
Muzeul civilizatiei urbane brasovMuzeul civilizatiei urbane brasov
Muzeul civilizatiei urbane brasovOvidiu Slimac
 
Rol i znachenie_pamyati_v_deyatelnosti_operatora
Rol i znachenie_pamyati_v_deyatelnosti_operatoraRol i znachenie_pamyati_v_deyatelnosti_operatora
Rol i znachenie_pamyati_v_deyatelnosti_operatoraСНУЯЭиП
 
Productivity Factors in Software Development for PC Platform
Productivity Factors in Software Development for PC PlatformProductivity Factors in Software Development for PC Platform
Productivity Factors in Software Development for PC PlatformIJERA Editor
 
Rare photos of celebrities eo
Rare photos of celebrities eoRare photos of celebrities eo
Rare photos of celebrities eoOvidiu Slimac
 

Viewers also liked (20)

250nm Technology Based Low Power SRAM Memory
250nm Technology Based Low Power SRAM Memory250nm Technology Based Low Power SRAM Memory
250nm Technology Based Low Power SRAM Memory
 
A 128 kbit sram with an embedded energy monitoring circuit and sense amplifie...
A 128 kbit sram with an embedded energy monitoring circuit and sense amplifie...A 128 kbit sram with an embedded energy monitoring circuit and sense amplifie...
A 128 kbit sram with an embedded energy monitoring circuit and sense amplifie...
 
Semiconductor memories
Semiconductor memoriesSemiconductor memories
Semiconductor memories
 
SRAM Design
SRAM DesignSRAM Design
SRAM Design
 
A Design Technique To Reduce Nbti Effects From 5t Sram Cells
A Design Technique To Reduce Nbti Effects From 5t Sram CellsA Design Technique To Reduce Nbti Effects From 5t Sram Cells
A Design Technique To Reduce Nbti Effects From 5t Sram Cells
 
Bildermix fantasie
Bildermix fantasieBildermix fantasie
Bildermix fantasie
 
F43033234
F43033234F43033234
F43033234
 
A43030104
A43030104A43030104
A43030104
 
V04507125128
V04507125128V04507125128
V04507125128
 
Aa044190194
Aa044190194Aa044190194
Aa044190194
 
S4502115119
S4502115119S4502115119
S4502115119
 
R044120124
R044120124R044120124
R044120124
 
Muzeul civilizatiei urbane brasov
Muzeul civilizatiei urbane brasovMuzeul civilizatiei urbane brasov
Muzeul civilizatiei urbane brasov
 
Ce4201528546
Ce4201528546Ce4201528546
Ce4201528546
 
Love is in the air
Love is in the airLove is in the air
Love is in the air
 
Rol i znachenie_pamyati_v_deyatelnosti_operatora
Rol i znachenie_pamyati_v_deyatelnosti_operatoraRol i znachenie_pamyati_v_deyatelnosti_operatora
Rol i znachenie_pamyati_v_deyatelnosti_operatora
 
Ba044324330
Ba044324330Ba044324330
Ba044324330
 
Productivity Factors in Software Development for PC Platform
Productivity Factors in Software Development for PC PlatformProductivity Factors in Software Development for PC Platform
Productivity Factors in Software Development for PC Platform
 
Rare photos of celebrities eo
Rare photos of celebrities eoRare photos of celebrities eo
Rare photos of celebrities eo
 
Am044253258
Am044253258Am044253258
Am044253258
 

Similar to A Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell

International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)IJERD Editor
 
SRAM BASED IN-MEMORY MATRIX VECTOR MULTIPLIER
SRAM BASED IN-MEMORY MATRIX VECTOR MULTIPLIERSRAM BASED IN-MEMORY MATRIX VECTOR MULTIPLIER
SRAM BASED IN-MEMORY MATRIX VECTOR MULTIPLIERIRJET Journal
 
IRJET- Deisgn of Low Power 16x16 Sram with Adiabatic Logic
IRJET- Deisgn of Low Power 16x16 Sram with Adiabatic LogicIRJET- Deisgn of Low Power 16x16 Sram with Adiabatic Logic
IRJET- Deisgn of Low Power 16x16 Sram with Adiabatic LogicIRJET Journal
 
An improvised design implementation of sram
An improvised design implementation of sramAn improvised design implementation of sram
An improvised design implementation of sramIAEME Publication
 
10T Dual-voltage Low Power SRAM Project Report
10T Dual-voltage Low Power SRAM Project Report10T Dual-voltage Low Power SRAM Project Report
10T Dual-voltage Low Power SRAM Project ReportJie Song
 
Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...
Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...
Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...IRJET Journal
 
Using CMOS Sub-Micron Technology VLSI Implementation of Low Power, High Spee...
 Using CMOS Sub-Micron Technology VLSI Implementation of Low Power, High Spee... Using CMOS Sub-Micron Technology VLSI Implementation of Low Power, High Spee...
Using CMOS Sub-Micron Technology VLSI Implementation of Low Power, High Spee...VLSICS Design
 
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...IOSR Journals
 
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...IOSR Journals
 
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...idescitation
 
MTJ-Based Nonvolatile 9T SRAM Cell
MTJ-Based Nonvolatile 9T SRAM CellMTJ-Based Nonvolatile 9T SRAM Cell
MTJ-Based Nonvolatile 9T SRAM Cellidescitation
 
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...IJECEIAES
 
IRJET- Design of Energy Efficient 8T SRAM Cell at 90nm Technology
IRJET-  	  Design of Energy Efficient 8T SRAM Cell at 90nm TechnologyIRJET-  	  Design of Energy Efficient 8T SRAM Cell at 90nm Technology
IRJET- Design of Energy Efficient 8T SRAM Cell at 90nm TechnologyIRJET Journal
 
Design of Low Power High Density SRAM Bit Cell
Design of Low Power High Density SRAM Bit CellDesign of Low Power High Density SRAM Bit Cell
Design of Low Power High Density SRAM Bit CellIRJET Journal
 
Advantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAMAdvantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAMIJSRED
 
Advantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAMAdvantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAMIJSRED
 
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...iosrjce
 

Similar to A Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell (20)

Kc2517811784
Kc2517811784Kc2517811784
Kc2517811784
 
International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)
 
SRAM BASED IN-MEMORY MATRIX VECTOR MULTIPLIER
SRAM BASED IN-MEMORY MATRIX VECTOR MULTIPLIERSRAM BASED IN-MEMORY MATRIX VECTOR MULTIPLIER
SRAM BASED IN-MEMORY MATRIX VECTOR MULTIPLIER
 
IRJET- Deisgn of Low Power 16x16 Sram with Adiabatic Logic
IRJET- Deisgn of Low Power 16x16 Sram with Adiabatic LogicIRJET- Deisgn of Low Power 16x16 Sram with Adiabatic Logic
IRJET- Deisgn of Low Power 16x16 Sram with Adiabatic Logic
 
An improvised design implementation of sram
An improvised design implementation of sramAn improvised design implementation of sram
An improvised design implementation of sram
 
10T Dual-voltage Low Power SRAM Project Report
10T Dual-voltage Low Power SRAM Project Report10T Dual-voltage Low Power SRAM Project Report
10T Dual-voltage Low Power SRAM Project Report
 
Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...
Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...
Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...
 
Using CMOS Sub-Micron Technology VLSI Implementation of Low Power, High Spee...
 Using CMOS Sub-Micron Technology VLSI Implementation of Low Power, High Spee... Using CMOS Sub-Micron Technology VLSI Implementation of Low Power, High Spee...
Using CMOS Sub-Micron Technology VLSI Implementation of Low Power, High Spee...
 
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
 
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
 
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...
 
Iw2616951698
Iw2616951698Iw2616951698
Iw2616951698
 
MTJ-Based Nonvolatile 9T SRAM Cell
MTJ-Based Nonvolatile 9T SRAM CellMTJ-Based Nonvolatile 9T SRAM Cell
MTJ-Based Nonvolatile 9T SRAM Cell
 
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
 
IRJET- Design of Energy Efficient 8T SRAM Cell at 90nm Technology
IRJET-  	  Design of Energy Efficient 8T SRAM Cell at 90nm TechnologyIRJET-  	  Design of Energy Efficient 8T SRAM Cell at 90nm Technology
IRJET- Design of Energy Efficient 8T SRAM Cell at 90nm Technology
 
Design of Low Power High Density SRAM Bit Cell
Design of Low Power High Density SRAM Bit CellDesign of Low Power High Density SRAM Bit Cell
Design of Low Power High Density SRAM Bit Cell
 
C0211520
C0211520C0211520
C0211520
 
Advantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAMAdvantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAM
 
Advantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAMAdvantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAM
 
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
 

Recently uploaded

INFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETE
INFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETEINFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETE
INFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETEroselinkalist12
 
Artificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptxArtificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptxbritheesh05
 
Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024hassan khalil
 
What are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxWhat are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxwendy cai
 
Current Transformer Drawing and GTP for MSETCL
Current Transformer Drawing and GTP for MSETCLCurrent Transformer Drawing and GTP for MSETCL
Current Transformer Drawing and GTP for MSETCLDeelipZope
 
Microscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptxMicroscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptxpurnimasatapathy1234
 
Application of Residue Theorem to evaluate real integrations.pptx
Application of Residue Theorem to evaluate real integrations.pptxApplication of Residue Theorem to evaluate real integrations.pptx
Application of Residue Theorem to evaluate real integrations.pptx959SahilShah
 
Internship report on mechanical engineering
Internship report on mechanical engineeringInternship report on mechanical engineering
Internship report on mechanical engineeringmalavadedarshan25
 
Call Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile serviceCall Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile servicerehmti665
 
Introduction-To-Agricultural-Surveillance-Rover.pptx
Introduction-To-Agricultural-Surveillance-Rover.pptxIntroduction-To-Agricultural-Surveillance-Rover.pptx
Introduction-To-Agricultural-Surveillance-Rover.pptxk795866
 
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort serviceGurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort servicejennyeacort
 
SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )Tsuyoshi Horigome
 
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICSAPPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICSKurinjimalarL3
 
Call Girls Narol 7397865700 Independent Call Girls
Call Girls Narol 7397865700 Independent Call GirlsCall Girls Narol 7397865700 Independent Call Girls
Call Girls Narol 7397865700 Independent Call Girlsssuser7cb4ff
 
CCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdf
CCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdfCCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdf
CCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdfAsst.prof M.Gokilavani
 
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube ExchangerStudy on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube ExchangerAnamika Sarkar
 
Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...VICTOR MAESTRE RAMIREZ
 
Sachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
Sachpazis Costas: Geotechnical Engineering: A student's Perspective IntroductionSachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
Sachpazis Costas: Geotechnical Engineering: A student's Perspective IntroductionDr.Costas Sachpazis
 

Recently uploaded (20)

INFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETE
INFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETEINFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETE
INFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETE
 
🔝9953056974🔝!!-YOUNG call girls in Rajendra Nagar Escort rvice Shot 2000 nigh...
🔝9953056974🔝!!-YOUNG call girls in Rajendra Nagar Escort rvice Shot 2000 nigh...🔝9953056974🔝!!-YOUNG call girls in Rajendra Nagar Escort rvice Shot 2000 nigh...
🔝9953056974🔝!!-YOUNG call girls in Rajendra Nagar Escort rvice Shot 2000 nigh...
 
Artificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptxArtificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptx
 
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
 
Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024
 
What are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxWhat are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptx
 
Current Transformer Drawing and GTP for MSETCL
Current Transformer Drawing and GTP for MSETCLCurrent Transformer Drawing and GTP for MSETCL
Current Transformer Drawing and GTP for MSETCL
 
Microscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptxMicroscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptx
 
Application of Residue Theorem to evaluate real integrations.pptx
Application of Residue Theorem to evaluate real integrations.pptxApplication of Residue Theorem to evaluate real integrations.pptx
Application of Residue Theorem to evaluate real integrations.pptx
 
Internship report on mechanical engineering
Internship report on mechanical engineeringInternship report on mechanical engineering
Internship report on mechanical engineering
 
Call Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile serviceCall Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile service
 
Introduction-To-Agricultural-Surveillance-Rover.pptx
Introduction-To-Agricultural-Surveillance-Rover.pptxIntroduction-To-Agricultural-Surveillance-Rover.pptx
Introduction-To-Agricultural-Surveillance-Rover.pptx
 
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort serviceGurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
 
SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )
 
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICSAPPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
 
Call Girls Narol 7397865700 Independent Call Girls
Call Girls Narol 7397865700 Independent Call GirlsCall Girls Narol 7397865700 Independent Call Girls
Call Girls Narol 7397865700 Independent Call Girls
 
CCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdf
CCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdfCCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdf
CCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdf
 
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube ExchangerStudy on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
 
Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...
 
Sachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
Sachpazis Costas: Geotechnical Engineering: A student's Perspective IntroductionSachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
Sachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
 

A Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell

  • 1. Aastha Singh et al. Int. Journal of Engineering Research and Applications www.ijera.com ISSN : 2248-9622, Vol. 5, Issue 4, ( Part -6) April 2015, pp.120-127 www.ijera.com 120 | P a g e A Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell Aastha Singh1 , Preet Jain1 , Tarun Kumar Gupta2 1 Department of Electronics, SVITS, Indore, India 2 Department of Electronics, MANIT, Bhopal, India Abstract High speed and low power consumption have been the primary issue to design Static Random Access Memory (SRAM), but we are facing new challenges with the scaling of technology. The stability and speed of SRAM are important issues to improve efficiency and performance of the system. Stability of the SRAM depends on the static noise margin (SNM) so the noise margin is also important parameter for the design of memory because the higher noise margin confirms the high speed of the SRAM cell. In this paper, the improved 6T SRAM cell shows maximum reduction in power consumption of 88%, maximum reduction in delay of 64% and maximum SNM of 17% increases compared with 7T SRAM cell. Keywords- Cadence tool, CMOS logic, Static Noise Margin, Delay and Power Consumption. I. INTRODUCTION Static Random Access Memory is a type of RAM used in various electronic applications including toys, computers, digital devices and automobiles. The static RAM or SRAM only holds its contents while power is applied. An SRAM cell keeps the cell data for as long as the power is turned on since it consists of a latch and refresh operation is not required for the SRAM cell. Its difference from dynamic RAM is that DRAM must use refresh cycles to keep its contents alive. As indicated by the name, SRAM holds data/memory as a static image until written over or lost from powering down. SRAM is mainly used for the cache memory in microprocessors, mainframe computers, engineering workstations and memory in hand held devices due to high speed and low power consumption. Each bit in an SRAM is stored on four transistors that form two cross coupled inverters. Static Random Access Memories (SRAMs) are commonly embedded into system-on-chip (SoC) designs to store programs and data. Many efforts have been made to improve the efficiency of the SRAM. Improvements are reducing delay, power consumption and increasing stability. A significant increase has been there in the demand for low power and high performance digital VLSI circuits. Designers are implementing very high-order scaling of both device dimensions and supply voltage. Transistor density and functionality on a chip is improved by scaling. Scaling also helps to increase speed and frequency of the operation and hence higher performance. This paper is presented an improved 6T SRAM cell that has low power consumption & high static noise margin than the other different SRAM cell. II. THE LITERATURE REVIEW OF DIFFERENT SRAM CELLS 2.1 6T SRAM CELL A. Construction Figure 1 Conventional 6T SRAM cell The SRAM cell is the key component for storing the binary information. By the use of two cross- coupled inverters, a typical SRAM cell forms a latch and access transistors. The access transistors enable access to the cell during read and write operations and provide cell isolation during the not-accessed state. SRAM cell is designed to provide write capability, non-destructive read access and data storage (or data retention) for as long as cell is powered. The design and analysis of different SRAM cells are: Conventional 6T, 7T, 8T, 9T and improved RESEARCH ARTICLE OPEN ACCESS
  • 2. Aastha Singh et al. Int. Journal of Engineering Research and Applications www.ijera.com ISSN : 2248-9622, Vol. 5, Issue 4, ( Part -6) April 2015, pp.120-127 www.ijera.com 121 | P a g e 6T. They are compared with respect to power, delay and speed. Generally, the cell design must strike a balance between cell area, speed, robustness, leakage and yield. One of the most important design objectives is power reduction. However, power cannot be reduced indefinitely without compromising the other parameters. As an example, low-power can compromise the cell area and also the speed of operations. The mainstream six-transistor (6T) CMOS SRAM cell is shown in Figure -1, here four transistors (M1−M4) comprise cross-coupled CMOS inverters and two NMOS transistors M5 and M6 provide read and write access to the cell. The most popular SRAM cell is a 6T CMOS SRAM cell due to its superior robustness, low-voltage and low power operation [9]. B. Operation of SRAM The Static Random Access memory device can perform the operation which is as follows: hold, read and write. a. Hold: The access transistors M5 and M6 disconnect the cell from the bit lines, if the word line is not asserted. The two cross coupled inverters formed by M1 – M4 will continue to reinforce each other as long as they are connected to the supply. b. Read: Figure 2 Read Operation of SRAM Cell. The bit lines are pre-charged to VDD prior to initiating a read operation. The read operation is initiated by enabling the word line (WL) and connecting the pre-charged bit lines (BL_1 and BL_2) to the internal nodes of the cell. On read access, the bit line voltage VBL_1 remains at the pre- charge level as shown in Figure-2. The complementary bit line voltage VBL_2 is discharged through transistors M1 and M5 connected in series. Conclusively, transistors M1 and M5 form a voltage divider whose output is now no longer at zero volts and is connected to the input of inverter M2−M4 (as in Figure -1). The sizing of M1 and M5 should ensure that inverter M2−M4 do not switch causing a destructive read [9]. c. Write: Figure 3 Write Operation of SRAM Cell. While the write operation one of the bit lines i.e. BL_1 in Figure-3, is driven from pre-charged value (VDD) to the ground potential by a write driver through transistor M6. The cell is flipped and its data is effectively overwritten if transistors M4 and M6 are properly sized. A statistical measure of SRAM cell write ability is defined as write margin. The write margin is defined as the minimum bit line voltage required flipping the state of an SRAM cell. Write margin value and variation is a function of the process variation, SRAM array size and cell design. A cell is considered not writeable if the worst-case write margin becomes lower than the ground potential. The write operation is applied to the node storing a '1'. It is necessitated by the non-destructive read constraint that ensures that a '0' node does not exceed the switching threshold of inverter M2−M4. The only function of pull-up transistors is to maintain the high level on the '1' storage node and prevent its discharge by the off state leakage current of the driver transistor during data retention and to provide the low-to-high transition during overwriting [13] 2.2 7T SRAM CELL Figure 4 A 7T SRAM cell
  • 3. Aastha Singh et al. Int. Journal of Engineering Research and Applications www.ijera.com ISSN : 2248-9622, Vol. 5, Issue 4, ( Part -6) April 2015, pp.120-127 www.ijera.com 122 | P a g e The circuit of 7T SRAM cell is made of two CMOS inverters that are connected cross coupled to each other with additional NMOS Transistor which is connected to read line and has two pass NMOS transistors connected to bit lines and bit line bar respectively. Figure-4 shows circuit of 7T SRAM cell, where the access transistors M5 is connected to the word-line (WL) to perform the access write and M6 is connected to the Read-line (R) to perform the read operations thought the column bit-lines (BL_1 and BL_2). The bit-lines act as I/O nodes carrying the data from SRAM cells to a sense amplifier during read operation or from write in the memory cells during write operations [12]. 2.3 8T SRAM CELL Figure 5 A 8T SRAM cell The 8T SRAM circuit [13] is presented in this section. The schematic of the 8T SRAM cell sized for an 180nm CMOS technology is shown in Figure 5. The left sub-circuit of the 8T memory cell is a conventional 6T SRAM cell with minimum sized devices (composed of M1, M2, M3, M4, M5, and M6). Two data access transistors (M5 and M6) and two bit lines (BL_1 and BL_2) are used for writing to the SRAM cell. An alternative communication channel (composed of a separate read bit line RBL and the transistor stack formed byM7 and M8) is used for reading the data from the cell. Two separate control signals RWL and WL are used for controlling the read and the write operations, respectively, with the 8T SRAM circuit as shown in Figure 5. During a read operation, the read signal RWL transitions to VDD while the write signal WL is maintained at VGND. The read bit line (RBL) is conditionally discharged based on the data stored in the SRAM cell. The storage nodes (Node1 and Node2) are completely isolated from the bit lines during a read operation. The data stability is thereby significantly enhanced as compared to the conventional 6T SRAM cells [13]. 2.4 9T SRAM CELL Figure 6 A 9T SRAM cell A 9T SRAM cell is presented in figure-6. The upper sub-circuit of the new memory cell is essentially a 6T SRAM cell with minimum sized devices (composed of M1, M2, M3, M4, M5, and M6). The two write access transistors (M5 and M6) are controlled by a write signal (WL). The data is stored within this upper memory sub-circuit. The lower sub-circuit of the new cell is composed of the bit-line access transistors (M7 and M8) and the read access transistor (M9). The operations of M7 and M8 are controlled by the data stored in the cell. M9 is controlled by a separate read signal (RD). During a write operation, WL signal transitions high while RD is maintained low, as shown in Fig. 2(a). M9 is cut- off. The two write access transistors M5 and M6 are turned on. In order to write a “0” to Node1, BL_1 and BL_2 are discharged and charged, respectively. A “0” is forced into the SRAM cell through M5. Alternatively, for writing a “0” to Node2, BL_1 and BL_2 are charged and discharged, respectively. A “0” is forced onto Node2 through M6. During a read operation, RD signal transitions high while WL is maintained low and the read access transistor M9 is activated. Provided that Node1 stores “1”. BL is discharged through M7 and M8. Alternatively, provided that Node2 stores “1”, the complementary bit line (BL_2) is discharged through M8 and M9. Since M5 and M6 are cut-off, the storage nodes Node1 and Node2 are completely isolated from the bit lines during a read operation [14].
  • 4. Aastha Singh et al. Int. Journal of Engineering Research and Applications www.ijera.com ISSN : 2248-9622, Vol. 5, Issue 4, ( Part -6) April 2015, pp.120-127 www.ijera.com 123 | P a g e III. IMPROVED 6T SRAM CELL Figure 7 Improved 6T SRAM cell The improved 6T SRAM cell is shown in Figure 7, it consists of two additional PMOS and NMOS transistor in which NMOS transistor is connected to the pull down network of SRAM cell and other is connected to the Pull up network of the SRAM cell. The input of the gate of NMOS transistor of pull down network of the SRAM cell is connected Vdd and the input gate of PMOS transistor of pull up network of the SRAM cell is connected to ground. This whole modification in the circuit of the SRAM offers the lower power consumption, less delay and high SNM. This improved 6T SRAM cell shows maximum reduction in power consumption of 88%, maximum reduction in delay of 64% and maximum SNM of 17% increases compared with 7T SRAM cell. However, the read and write operations of given circuit is same as that of conventional 6T CMOS SRAM cell. IV. SIMULATION AND RESULTS The Simulation and result of the improved 6T SRAM cell is presented in Table 1. In the following subsections, three important metrics of an SRAM design: Static noise margins, power, and delays are explaining. 4.1 STATIC-NOISE-MARGIN (SNM) The stability of SRAM circuit depends on the Static Noise Margin. The basic SNM is obtained by drawing and mirroring the inverter characteristics and finding the maximum possible square between them. It is a graphical technique of estimating the SNM. Figure 8 The standard setup for SNM definition Figure 9 General SNM characteristics during Hold operation The Figure-9 shows a common way of representing the SNM graphically for a bit-cell holding data. This figure plots the Voltage Transfer Characteristic (VTC) of Inverter 2 (inv2) and the inverse VTC-1 from Inverter 1(inv1). Resulting two- lobed curve is called a 'butterfly curve' and is used to determine the SNM. The length of the side of the largest square that can be embedded inside the lobes of the butterfly curve is defined as the SNM. Consider the case when the value of the noise sources with value Vn are introduced in the bit cell at each of the internal nodes. When the value of Vn increases from 0, it causes the VTC-1 for first inverter in Figure-9 to move downward and the VTC for the second inverter to move to the right. The values of SNM vary in different operation modes. SNM is becoming important factor to check the stability during read operation. SRAM cell immunity to static noise is measured in terms of SNM that quantifies the maximum amount of voltage noise that can be tolerated at the cross-inverters output nodes without flipping the cell. The value of the SNM during cell operation changes with any change in the noise. Although the SNM is important during hold, the cell stability during active operation represents a more significant limitation to SRAM operation [8].
  • 5. Aastha Singh et al. Int. Journal of Engineering Research and Applications www.ijera.com ISSN : 2248-9622, Vol. 5, Issue 4, ( Part -6) April 2015, pp.120-127 www.ijera.com 124 | P a g e Figure 10 Butterfly curve for SNM of 6T SRAM cell Figure11 Butterfly curve for SNM of 7T SRAM cell Figure 12 Butterfly curve for SNM of 8T SRAM cell Figure 13 Butterfly curve for SNM of 9T SRAM cell Figure 14 Butterfly curve for SNM of Improved 6T SRAM cell 4.2- DELAY The delays of SRAM are usually defined as the time it takes to read or write a value from an SRAM cell. While a node is switching, the delay is measured as the time difference between 10% and 90% of the voltage swing. As an example - if we are trying to bring node A from 0V to 1V then the delay is the time it takes for node A to go from 0.1V to 0.9V. In case of a write operation, write delay is defined as the time required for writing '0'to storage node 'L' from the time when word Line is activated to the time when 'L' falls to 10% of its initial high level. In the same way, writing '1' to 'L' is defined from the time when word Line is activated to the time when 'L' rises to 90% of its full swing from its initial low level. Write delay is approximately equal to the propagation delay of inv2 and inv l [2].
  • 6. Aastha Singh et al. Int. Journal of Engineering Research and Applications www.ijera.com ISSN : 2248-9622, Vol. 5, Issue 4, ( Part -6) April 2015, pp.120-127 www.ijera.com 125 | P a g e Figure 15 Simulated results for delay of 6T SRAM cell Figure 16 Simulation results for delay of 7T SRAM cell Figure 17 Simulation results for delay of 8T SRAM cell Figure 18 Simulated results for delay of 9T SRAM cell Figure 19 Simulation results for delay of improved 6T SRAM cell 4.3- POWER CONSUMPTION The power consumption of Static Random Access Memory cell depends on consumption of the power which used to perform the operation of the transistor [5]. Dynamic power consumption in SRAMs is consumed due to the charging and discharging capacitances during read and write operation and during each cycle of SRAM particular amount of Energy is drawn from the power supply and dissipated. For each cycle the power consumption is depended on the type of operation (read or write). Also, when the capacitor charged from GND to VDD and then discharged VDD to GND, the amount of energy drawn from the power supply and dissipated equals CLVDD 2 . The stored energy on the capacitor CL with voltage VC equals ½ CLVC 2 . Therefore, each time the capacitor CL is charged from VC to VDD and then discharged VDD to VC [3]. A average power dissipation of any device over one period can be obtained by following expression [6]. Pav = [(1/T) 0∫T I dt] × V
  • 7. Aastha Singh et al. Int. Journal of Engineering Research and Applications www.ijera.com ISSN : 2248-9622, Vol. 5, Issue 4, ( Part -6) April 2015, pp.120-127 www.ijera.com 126 | P a g e Figure 20 Simulated results for power consumption of 6T SRAM cell Figure 21 Simulated results for power consumption of 7T SRAM cell Figure 22 Simulated results for power consumption of 8T SRAM cell Figure 23 Simulated results for power consumption of 9T SRAM cell Figure 24 Simulated results for power consumption of improved 6T SRAM cell Table 1 Comparison of power consumption, delay and SNM. Parameter 6T 7T 8T 9T Improvd 6T Power consumption (µW) 1.597 10.63 1.68 1.86 1.2 Delay ( ps) 38.22 97.21 38.2 38.7 34.74 SNM (in hold mode) 1.21 1.22 1.23 1.22 1.43 V. CONCLUSION In this paper, we have design and implemented a low power, low delay and high SNM SRAM cell. We have also analyzed the power consumption and delay of improved 6T SRAM cell is less as compare to conventional 6T, 7T, 8T, 9T SRAM cell. The improved 6T SRAM cell is reducing the delay and increasing Static Noise Margin (in hold mode). In this paper, the improved 6T SRAM cell shows maximum reduction in power consumption of 88%, maximum reduction in delay of 64% and maximum SNM of 17% increases compared with 7T SRAM
  • 8. Aastha Singh et al. Int. Journal of Engineering Research and Applications www.ijera.com ISSN : 2248-9622, Vol. 5, Issue 4, ( Part -6) April 2015, pp.120-127 www.ijera.com 127 | P a g e cell. Therefore, improved 6T SRAM cell is useful for high-speed and low power applications. REFERENCE [1] Ajoy C A, Arun Kumar, Anjo C A, Vignesh Raja: “Design and Analysis of Low Power Static RAM Using Cadence Tool in 180nm Technology”, IJCST Vol. 5, SPL - 1, Jan - March 2014. [2] A. Islam and M. Hasan, Member: “Leakage Characterization of 10T SRAM Cell”, IEEE Transaction on Electron Device, Vol. 59, March 2012. [3] Sushil Bhushan, Shishir Rastogi, Mayank Shastri: “High Density Four-Transistor SRAM cell with low power consumption”, IJCTA, Spt-Oct 2011. [4] L. Chang: “An 8T-SRAM for Variability Tolerance and Low-Voltage Operation in High-Performance Caches”, IEEE Journal of Solid-State Circuits, Vol. 43, April 2008. [5] Shyam AKASHE, Sushil BHUSHAN, Sanjay SHARMA: “High Density and Low Leakage Current Based 5T SRAM Cell Using 45 nm Technology”, Roman Journal of Information Science and Technology Volume 15, Number 2012. [6] Kang S.-M., Leblebici Y., CMOS Digital Integrated Circuits-Analysis and Design, third edition, WCB McGraw-Hill, 2003. [7] Ramy E. Aly, Md I. Faisal and Magdy A. Bayoumi: “Novel 7T SRAM cell for low power cache design”, 0-7803-9264- 71051$20.002005 IEEE. [8] Nahid Rahman, B. P. Singh: “Design of Low Power Sram Memory Using 8t Sram Cell”, International Journal of Recent Technology and Engineering, Volume-2, Issue-1, March 2013. [9] Sunil Kumar Ojha & P.R. Vaya: “A Novel Architecture of SRAM Cell for Low-Power Application”, ISSN (Print): 2278-8948, Volume-2, Issue-4, 2013. [10] Michael C. Wang: “Low Power Dual Word Line 6-Transistor”, Proceedings of the World Congress on Engineering and Computer Science 2009 Vol 1 WCECS 2009, October 2009. [11] K. Dhanumjaya, Dr. MN. Giri Prasad, Dr. K. Padmaraju , Dr. M. Raja Reddy: “Design of Low Power SRAM in 45 nm CMOS Technology”, International Journal of Engineering Research and Applications (IJERA), Vol. 1, Issue 4, pp.2040-2045. [12] Pankaj Agarwal, Nikhil Saxena: “Low Power Design and Simulation of 7T SRAM Cell using various Circuit Techniques” International Journal of Engineering Trends and Technology (IJETT), Vol. 4, May 2013. [13] Sherif A.Tawfik and Volkan Kursun: “Stability Enhancement Techniques for Nanoscale SRAM Circuits: A Comparison”, International SoC Design Conference, 2008. [14] Zhiyu Liu and Volkan Kursun: “Characterization of a Novel Nine- Transistor SRAM Cell” IEEE Transaction on Very Large Scale Integration (VLSI) System, Vol. 16, April 2008.