SlideShare a Scribd company logo
1 of 11
Download to read offline
International Journal of VLSI design & Communication Systems (VLSICS) Vol.3, No.2, April 2012
DOI : 10.5121/vlsic.2012.3204 41
CELL STABILITY ANALYSIS OF CONVENTIONAL
6T DYNAMIC 8T SRAM CELL IN 45NM
TECHNOLOGY
K. Dhanumjaya1
, M. Sudha2
, Dr.MN.Giri Prasad3
, Dr.K.Padmaraju4
1
Research Scholar, Jawaharlal Nehru Technological University, Anantapur, AP, INDIA
dhanu.karumanchi@gmail.com
2
PG Student, Jawaharlal Nehru Technological University, Anantapur, AP, INDIA
sudhareddy87@gmail.com
3
Professor, Jawaharlal Nehru Technological University, Anantapur, AP, INDIA
4
Professor, Jawaharlal Nehru Technological University, Kakinada, AP, INDIA
ABSTRACT:-
A SRAM cell must meet requirements for operation in submicron/nano ranges. The scaling of CMOS
technology has significant impact on SRAM cell -- random fluctuation of electrical characteristics and
substantial leakage current. In this paper we present dynamic column based power supply 8T SRAM cell
and comparing the proposed SRAM cell with respect to conventional SRAM 6T in various aspects. To
verify read stability and write ability analysis we use N-curve metric. Simulation results affirmed that
proposed 8T SRAM cell achieved improved read stability, read current, and leakage current in 45nm
Technology comparing with conventional 6T SRAM using cadence virtuoso tool.
Key Words:-
SRAM, Leakage Current, N-curve, Read stability, Write-ability, Cadence, Virtuoso, 45nm Technology.
1. INTRODUCTION
For nearly 40 years CMOS devices have been scaled down in order to achieve higher speed,
performance and lower power consumption. Static Random Access Memory (SRAM)
continues to be one of the most fundamental and vitally important memory technologies today.
As process technology is scaled down, threshold voltage and leakage current variations are
increased [1]. In the conventional 6T cell, it is difficult to find an optimum design because the
both read stability and write margin must be considered. At low supply voltage 6T cell worsen in
read stability. Leakage power is a high priority consideration due to feature scaling in high
performance processor design. In today’s processors, the leakage power of cache was a major
source of power dissipation because cache occupies more than 50% of the chip area [2]. Low
leakage SRAM design leakage SRAM design has been an active area of research over the past
years. Low Power and high-stability have been the main themes of SRAM designs in the last
decade [8].
In this paper, we use dynamic cell supply 8T SRAM cell to address the above problems. We
compare the conventional 6T and proposed 8T SRAM cell with respect to read stability and
leakage.
International Journal of VLSI design & Communication Systems (VLSICS) Vol.3, No.2, April 2012
42
The rest of the paper is organized as follows:
Section 2 presents stability analysis using N-curve. Section 3 reviews the basic operation of
conventional 6T SRAM cell. Section 4 presents the operating principles of proposed cell and its
circuit implementation. Section 5 presents Cadence design flow. Section 6 presents simulation
results. Section 7 represent conclusion of the paper.
2. STABILITY ANALYSIS USING N-CURVE
Normally stability of the SRAM bit cell measured by the Static Noise Margin (SNM) and the
Write Trip Point (WTP). According to [3], in this paper SRAM bit cells were analyzed using the
N-Curve which is the inline tester. N-Curve gets information about both read stability, write
stability at one simulation process.
2.1 READ STABILTY
The cell becomes less stable with lower supply voltage, increasing leakage currents and
increasing variability, all resulting from technology scaling. The stability is usually defined by the
SNM as the maximum value of DC noise voltage that can be tolerated by the SRAM cell without
changing the stored bit. Locating the smallest square between the two largest ones delimited by
the eyes of the butterfly curve determines graphically the SNM shown in Fig1 [9].
Figure 1: SNM.
The drawback of the SNM is the inability to measure the SNM with automatic inline testers, due
to the fact that after measuring the butterfly curves of the cell the static current noise margin
(SINM) still has to be derived by mathematical manipulation of the measured data. An alternative
definition for the SRAM read stability is based on the N-curve of the cell, which is measurable by
inline testers. The combined voltage and current information provided by the N-curve (Fig.2)
allows to overcome the limitations of scaling described for the SNM.
Voltage in internal node in (V)
Figure 2: N-curve of the cell.
International Journal of VLSI design & Communication Systems (VLSICS) Vol.3, No.2, April 2012
43
The voltage difference between point A and B indicates the maximum tolerable DC noise voltage
of the cell before its content changes. This voltage metric is the static voltage noise margin
(SVNM). The additional current information provided by the N-curve, namely the peak current
located between point A and B, can also be used to characterize the cell read stability [3]. This
current metric is the static current noise margin (SINM).
For better read stability, SVNM and SINM must be high value.
2.2 WRITE STABILTY
Besides the read stability for the SRAM cell, a reasonable write-trip point is equally important
to guarantee the write-ability of the cell without spending too much energy in pulling down the
bit-line voltage to 0 V. The SRAM N-curve can also be used as alternative for the write-ability.
Since, it gives indications on how difficult or easy it is to write the cell. The negative current peak
(Fig 2) between point C and B or the write-trip current (WTI) is the amount of current needed to
write the cell when both bit-lines are kept at VDD. Similarly, the voltage difference between
point C and B or the write-trip voltage (WTV) is the voltage drop needed to flip the internal node
“1” of the cell with both the bit-lines clamped to VDD.
The N-curve current information is critical for designing a cell in nanometer technologies.
Moreover, it allows overcoming the read stability limit of 0.5VDD. Finally, to find the stability of
the system we should consider the SVNM, SINM, WTV and WTI [3].
3. CONVENTIONAL 6T SRAM CELL
3.1 CONSTRUCTION
Fig 3 shows the conventional 6T SRAM cell which has two back to back connection of inverters
using N1, P1, N2, P2 to store the single bit either ‘0’ or ‘1’. N3, N4 transistors are called as
access transistors. WL is used to turn ON the access transistors. BL, /BL are bit lines.
Figure 3. conventional 6T SRAM cell.
3.2 OPERATION
An SRAM cell has three different states it can be in: standby where the circuit is idle, reading
when the data has been requested and writing when updating the contents. The SRAM to operate
in read mode and write mode should have "readability" and "write stability" respectively. The
three different states work as follows [5] [7]:
International Journal of VLSI design & Communication Systems (VLSICS) Vol.3, No.2, April 2012
44
• Standby: If the word line is not asserted, the access transistors N3 and N4 disconnect the
cell from the bit lines. The two cross coupled inverters formed by P1-N1, P2-N2 will
continue to reinforce each other as long as they are connected to the supply.
• Reading: Assume that the content of the memory is a 1, stored at D. The read operation
is done by using the sense amplifiers that pull the data and produce the output. The row
decoders and column decoders are used to select the appropriate cell or cells from which
the data is to be read and are given to the sense amplifiers through transmission gate.
• Writing: The start of a write cycle begins by applying the value to be written to the bit
lines. If we wish to write a 0, we would apply a 0 to the bit lines, i.e. setting BL bar to 1
and BL to 0. A 1 is written by inverting the values of the bit lines. WL is then asserted
and the value that is to be stored is latched in. Note that the reason this works is that the
bit line input-drivers are designed to be much stronger than the relatively weak transistors
in the cell itself, so that they can easily override the previous state of the cross-coupled
inverters.
4. PROPOSED 8T SRAM CELL
4.1 CONSTRUCTION
The proposed SRAM cell consists of 8 transistors, N1-N5 and P1-P3, as shown Fig.4. Four
transistors N1, N2, P1, P2 form a cross-couple structure to store data. Four transistors P3 and N3-
N5 are access to the internal nodes D and /D of the cell. N3 and N4 connect the cell internal
nodes D and /D of the cell. N3 and N4 connect the cell internal nodes to the BLs while P3 and N5
form an inverter to control the voltage of node C1. The source terminal of P3 is connected to a
column select (CS) line while gates of P3 and N5 are connected to WL. Unlike conventional
design, the sources of P1 and P2 are connected to dynamic cell supply(cell_supply) line which is
raised to the higher voltage during read operation to obtain a higher noise margin [4].
4.2 OPERATION
Like a conventional 6T SRAM bit cell, it has three modes of operations: standby, read and write
as follows [4]:
Standby: During standby, Cell_Supply voltage is kept at VDD to maintain a high noise margin.at
the same time WL is pre-charged high while all CS is pre-charged low. As a result, transistor N5
of cell is turned on to pre-charge node C1 to ground. Thus, both access transistors N3 and N4
turned off, isolating the storing element from any BL disturbances. Also, BLs are pre-charged to
VDD to prepare for the next read/write operation.
Reading: read operation starts by raising CS from ground to VDD and Cell_Supply is raised from
VDD to VDD2. VDD2 must be higher than VDD to improve noise margin of cell during read
operation. At the same time WL is pulled to low to drive node C1 to VDD and hence turning on
N3 and N4. Once N3 and N4 are turned on to read the cell data, subsequent circuit operation
same as the conventional 6T SRAM.
Writing: Write operation of the proposed design is much simpler than its read operation. Write
operation starts by asserting CS line to VDD while the WL is pulled down. Meanwhile, one of the
BLs is pulled to ground while other kept at VDD. When node C1 is charged up to VDD, both N1
and N2 are turned on and input data is written into memory similar to conventional 6T SRAM.
International Journal of VLSI design & Communication Systems (VLSICS) Vol.3, No.2, April 2012
45
Figure 4. proposed 8T SRAM cell
5. CADENCE DESIGN FLOW
.
Cadence design Systems is electronic design automation software and engineering Services
Company that offers various types of design and verification tasks that include [6]:
• Virtuoso Platform - Tools for designing full-custom integrated circuits, includes
schematic entry, behavioral modeling (Verilog-AMS), circuit simulation, full custom
layout, physical verification, extraction and back-annotation. Used mainly for analog,
mixed-signal, RF, and standard-cell designs.
• Encounter Platform - Tools for creation of digital integrated circuits. This includes floor
planning, synthesis, test, and place and route. Typically a digital design starts from
Verilog netlists.
• Incisive Platform - Tools for simulation and functional verification of RTL including
Verilog, VHDL and System C based models. Includes formal verification, formal
equivalence checking, hardware acceleration, and emulation.
The proposed work is done in Virtuoso platform using gpdk45 nm technology. The flow of
design is as shown below.
International Journal of VLSI design & Communication Systems (VLSICS) Vol.3, No.2, April 2012
46
Figure 5. cadence virtuoso design flow.
Using above flow design of both conventional and proposed system in cadence virtuoso
schematic tool was done. Fig 6 & Fig 7 shows the schematic for conventional 6T and proposed
8T using cadence virtuoso schematic editor. After that we create symbols for both and analysis
those cells in various aspects.
Figure 6. Conventional 6T SRAM cell design in cadence.
International Journal of VLSI design & Communication Systems (VLSICS) Vol.3, No.2, April 2012
47
Figure 7. proposed 8T SRAM cell design in cadence.
6. SIMULATION RESULTS
Cadence spectra simulation of DC analysis gave good results in 45nm technology. The results are
shown in below Table 1. Below table shows the comparison between conventional 6T, proposed
SRAM bit cell using N-curve. Here analysis was done based on DC simulation results by giving
inputs as a dc signals to know the stability of the SRAM cell.
Conventional
SRAM 6T
Proposed
SRAM 8T
CMOS Process 45nm/1V 45nm/1V
Read/write
process
Differential Differential
SVNM 325mV 668.6mV
SINM 35.61 µA 98.16 µA
WTV 475mV 487.8mV
WTI -10.47µA -48.35µA
Leakage
current
10.026fA 5.21143fA
Read current 5.39421pA
99.5612µA
Table1. Summary of conventional 6T, Dynamic 8T SRAM Cell
SRAM bit cell N-Curve analysis results:
The read stability is analysed with respect to N-curve as shown in fig 8 & fig 9. Procedure to get
N-curve is we need to select the dc simulation, after that by taking /D as variable input node from
0 to vdd, D as a output node measure /D node current which is in Y- axis with respect to input
voltage would gives Fig.8. By observing Fig 8 measured SVNM,SINM,WTV,WTI values.
International Journal of VLSI design & Communication Systems (VLSICS) Vol.3, No.2, April 2012
48
Figure 8. Conventional 6T SRAM cell N-curve.
Figure 9. Proposed 8T SRAM cell N-curve.
SRAM bit cell internal noise voltage analysis results:
Fig 12 & fig 13 shows the internal voltage variations in read operation which is used to observe
the variation in dc curve. Procedure to get below wave form is a one of the dc simulation for that
add variable dc voltage in between the back to back inverter as shown in fig 10 , fig 11.
Figure 10. schematic to internal tolerable noise voltage measure in conventional 6T SRAM bit cell.
International Journal of VLSI design & Communication Systems (VLSICS) Vol.3, No.2, April 2012
49
Figure 11. schematic to internal tolerable noise voltage measure in dynamic 8T SRAM bit cell.
Figure 12. internal voltage Vn variations in read operation of 6T.
Figure 13. internal voltage Vn variations in read operation of 8T.
International Journal of VLSI design & Communication Systems (VLSICS) Vol.3, No.2, April 2012
50
SRAM bit cell leakage current analysis results:
Below fig.14 & fig. 15 shows the leakage current calculation process in read operation. This is
also DC simulation. Procedure to get leakage current is create block or symbol for bit cell then
connect the nmos transistor source to leakage node, apply 0 dc voltage to the gate of the nmos
transistor and connect remaining nodes of the circuit to ground.
Figure 14. leakage current of 6T SRAM cell in read operation.
Figure15. Leakage current of 8T SRAM in read operation.
7. CONCLUSION
A fully differential 8T SRAM with a column-based dynamic supply has been proposed. Analyse
both conventional 6T and proposed SRAM using N-curve. The proposed SRAM 8T cell has
achieved improved read stability, read current and leakage current. N-curve metric was best
method to analysis the cell stability it contains both voltage and current information. So, we can
analysis the cell stability correct way. Above results prove that half of the leakage current was
reduced and read stability of proposed cell achieve double amount approximately with comparing
SRAM 6T cell.
International Journal of VLSI design & Communication Systems (VLSICS) Vol.3, No.2, April 2012
51
REFERENCES:
[1] V. Gupta and M. Anis, “Statistical design of the 6T SRAM bit cell,” IEEE Trans. Circuits Syst. I,
Reg. Papers, vol. 57, no. 1, pp. 93–104, Mar. 2010.
[2] C. Molina, C. Aliagas, M. Garcia, A. Gonzcalezo, J. Tubellao. Non Redundant Data Cache. In
ISLPED, pages 274–277, August 2003.
[3] E. Grossar et al., “Read stability and write-ability analysis of SRAM cells for nanometer
technologies,” IEEE J. Solid-State Circuits, vol.41, no. 11, pp. 2577–2588, Nov. 2006.
[4] Do Anh-Tuan, Jeremy Yung Shern Low, Joshua Yung Lih Low, Zhi-Hui Kong, Xiaoliang Tan, and
Kiat-Seng Yeo,”An 8T Differential SRAM With Improved Noise Margin for Bit-Interleaving in 65
nm CMOS” IEEE Transnctions on circuits and systems—I :regular papers, Vol. 58, No. 6, june 2011.
[5] Jan M. Rabaey,Anantha Chandrakasan and Borivoje Nikolic, ”Digital Integrated Circuits”, ISBN 81-
7808-991-2, Pearson Education, 2003.
[6] Antonio J. Lopez Martin"CADENCE DESIGN ENVIRONMENT"Klipsch School of Electrical and
Computer Engineering, New Mexico State University, October 2002.
[7] Neil H.E.Weste,David Harris and Ayan Banerjee, “CMOS VLSI Design, a circuits and systems
perspective” ,ISBN: 0321149017/9780321149015 Third edition, Pearson Education, 2005.
[8] C. Ik Joon et al., “A 32 kb 10T subthreshold SRAM array with bitinterleaving and differential read
scheme in 90 nm CMOS,” IEEE J. Solid-State Circuits, vol. 44, no. 2, pp. 650–658, Feb. 2009.
[9] E. Seevinck et al., “Static-noise margin analysis of MOS SRAM cells,” IEEE J. Solid-State Circuits,
vol. SSC-22, no. 5, pp. 748–754, Oct.1987.

More Related Content

What's hot

FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...
FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...
FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...VLSICS Design
 
EC6601 VLSI Design Memory Circuits
EC6601 VLSI Design   Memory CircuitsEC6601 VLSI Design   Memory Circuits
EC6601 VLSI Design Memory Circuitschitrarengasamy
 
IRJET - A Zero Voltage Switching Pulse Width Modulated Multilevel Buck Converter
IRJET - A Zero Voltage Switching Pulse Width Modulated Multilevel Buck ConverterIRJET - A Zero Voltage Switching Pulse Width Modulated Multilevel Buck Converter
IRJET - A Zero Voltage Switching Pulse Width Modulated Multilevel Buck ConverterIRJET Journal
 
Design Topology of Low Profile Transformer forSlim Adaptor
Design Topology of Low Profile Transformer forSlim AdaptorDesign Topology of Low Profile Transformer forSlim Adaptor
Design Topology of Low Profile Transformer forSlim AdaptorIJRES Journal
 
Investigation of the Common Mode Voltage for a Neutral-Point-Clamped Multilev...
Investigation of the Common Mode Voltage for a Neutral-Point-Clamped Multilev...Investigation of the Common Mode Voltage for a Neutral-Point-Clamped Multilev...
Investigation of the Common Mode Voltage for a Neutral-Point-Clamped Multilev...IJPEDS-IAES
 
A Design Technique To Reduce Nbti Effects From 5t Sram Cells
A Design Technique To Reduce Nbti Effects From 5t Sram CellsA Design Technique To Reduce Nbti Effects From 5t Sram Cells
A Design Technique To Reduce Nbti Effects From 5t Sram CellsIJERA Editor
 
Control of HVDC Transmission System Based on MMC with Three-Level Flying Capa...
Control of HVDC Transmission System Based on MMC with Three-Level Flying Capa...Control of HVDC Transmission System Based on MMC with Three-Level Flying Capa...
Control of HVDC Transmission System Based on MMC with Three-Level Flying Capa...Anand Parakkat Parambil
 
Design of Low Voltage D-Flip Flop Using MOS Current Mode Logic (MCML) For Hig...
Design of Low Voltage D-Flip Flop Using MOS Current Mode Logic (MCML) For Hig...Design of Low Voltage D-Flip Flop Using MOS Current Mode Logic (MCML) For Hig...
Design of Low Voltage D-Flip Flop Using MOS Current Mode Logic (MCML) For Hig...IOSRJVSP
 
VOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITS
VOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITSVOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITS
VOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITSVLSICS Design
 
Design of a novel current balanced voltage controlled delay element
Design of a novel current balanced voltage controlled delay elementDesign of a novel current balanced voltage controlled delay element
Design of a novel current balanced voltage controlled delay elementVLSICS Design
 

What's hot (17)

FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...
FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...
FIVE-TRANSISTOR SINGLE-PORT SRAM BIT CELL WITH HIGH SPEED AND LOW STANDBY CUR...
 
EC6601 VLSI Design Memory Circuits
EC6601 VLSI Design   Memory CircuitsEC6601 VLSI Design   Memory Circuits
EC6601 VLSI Design Memory Circuits
 
IRJET - A Zero Voltage Switching Pulse Width Modulated Multilevel Buck Converter
IRJET - A Zero Voltage Switching Pulse Width Modulated Multilevel Buck ConverterIRJET - A Zero Voltage Switching Pulse Width Modulated Multilevel Buck Converter
IRJET - A Zero Voltage Switching Pulse Width Modulated Multilevel Buck Converter
 
A03540109
A03540109A03540109
A03540109
 
Design Topology of Low Profile Transformer forSlim Adaptor
Design Topology of Low Profile Transformer forSlim AdaptorDesign Topology of Low Profile Transformer forSlim Adaptor
Design Topology of Low Profile Transformer forSlim Adaptor
 
Analysis, Design and Investigation on a New Single-Phase Switched Quasi Z-Sou...
Analysis, Design and Investigation on a New Single-Phase Switched Quasi Z-Sou...Analysis, Design and Investigation on a New Single-Phase Switched Quasi Z-Sou...
Analysis, Design and Investigation on a New Single-Phase Switched Quasi Z-Sou...
 
Investigation of the Common Mode Voltage for a Neutral-Point-Clamped Multilev...
Investigation of the Common Mode Voltage for a Neutral-Point-Clamped Multilev...Investigation of the Common Mode Voltage for a Neutral-Point-Clamped Multilev...
Investigation of the Common Mode Voltage for a Neutral-Point-Clamped Multilev...
 
A Design Technique To Reduce Nbti Effects From 5t Sram Cells
A Design Technique To Reduce Nbti Effects From 5t Sram CellsA Design Technique To Reduce Nbti Effects From 5t Sram Cells
A Design Technique To Reduce Nbti Effects From 5t Sram Cells
 
Control of HVDC Transmission System Based on MMC with Three-Level Flying Capa...
Control of HVDC Transmission System Based on MMC with Three-Level Flying Capa...Control of HVDC Transmission System Based on MMC with Three-Level Flying Capa...
Control of HVDC Transmission System Based on MMC with Three-Level Flying Capa...
 
Gl3112351241
Gl3112351241Gl3112351241
Gl3112351241
 
Appropriate Switching State Selection to Avoid Sapacitor Imbalance in Five-Le...
Appropriate Switching State Selection to Avoid Sapacitor Imbalance in Five-Le...Appropriate Switching State Selection to Avoid Sapacitor Imbalance in Five-Le...
Appropriate Switching State Selection to Avoid Sapacitor Imbalance in Five-Le...
 
Embedded dram
Embedded dramEmbedded dram
Embedded dram
 
Bidirectional Resonant DC-DC converter for Microgrid Applications
Bidirectional Resonant DC-DC converter for Microgrid ApplicationsBidirectional Resonant DC-DC converter for Microgrid Applications
Bidirectional Resonant DC-DC converter for Microgrid Applications
 
Design of Low Voltage D-Flip Flop Using MOS Current Mode Logic (MCML) For Hig...
Design of Low Voltage D-Flip Flop Using MOS Current Mode Logic (MCML) For Hig...Design of Low Voltage D-Flip Flop Using MOS Current Mode Logic (MCML) For Hig...
Design of Low Voltage D-Flip Flop Using MOS Current Mode Logic (MCML) For Hig...
 
VOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITS
VOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITSVOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITS
VOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITS
 
Power transmission & distribution
Power transmission & distributionPower transmission & distribution
Power transmission & distribution
 
Design of a novel current balanced voltage controlled delay element
Design of a novel current balanced voltage controlled delay elementDesign of a novel current balanced voltage controlled delay element
Design of a novel current balanced voltage controlled delay element
 

Similar to CELL STABILITY ANALYSIS OF CONVENTIONAL 6T DYNAMIC 8T SRAM CELL IN 45NM TECHNOLOGY

Implementation of High Reliable 6T SRAM Cell Design
Implementation of High Reliable 6T SRAM Cell DesignImplementation of High Reliable 6T SRAM Cell Design
Implementation of High Reliable 6T SRAM Cell Designiosrjce
 
IMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAMIMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAMVLSICS Design
 
IMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAMIMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAMVLSICS Design
 
IMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAMIMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAMVLSICS Design
 
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...iosrjce
 
PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...
PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...
PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...VLSICS Design
 
SINGLE-PORT FIVE-TRANSISTOR SRAM CELL WITH REDUCED LEAKAGE CURRENT IN STANDBY
SINGLE-PORT FIVE-TRANSISTOR SRAM CELL WITH REDUCED LEAKAGE CURRENT IN STANDBYSINGLE-PORT FIVE-TRANSISTOR SRAM CELL WITH REDUCED LEAKAGE CURRENT IN STANDBY
SINGLE-PORT FIVE-TRANSISTOR SRAM CELL WITH REDUCED LEAKAGE CURRENT IN STANDBYVLSICS Design
 
Static-Noise-Margin Analysis of Modified 6T SRAM Cell during Read Operation
Static-Noise-Margin Analysis of Modified 6T SRAM Cell during Read OperationStatic-Noise-Margin Analysis of Modified 6T SRAM Cell during Read Operation
Static-Noise-Margin Analysis of Modified 6T SRAM Cell during Read Operationidescitation
 
Design of Low Write-Power Consumption SRAM Cell Based on CNTFET at 32nm Techn...
Design of Low Write-Power Consumption SRAM Cell Based on CNTFET at 32nm Techn...Design of Low Write-Power Consumption SRAM Cell Based on CNTFET at 32nm Techn...
Design of Low Write-Power Consumption SRAM Cell Based on CNTFET at 32nm Techn...VLSICS Design
 
DESIGN OF LOW WRITE-POWER CONSUMPTION SRAM CELL BASED ON CNTFET AT 32nm TECHN...
DESIGN OF LOW WRITE-POWER CONSUMPTION SRAM CELL BASED ON CNTFET AT 32nm TECHN...DESIGN OF LOW WRITE-POWER CONSUMPTION SRAM CELL BASED ON CNTFET AT 32nm TECHN...
DESIGN OF LOW WRITE-POWER CONSUMPTION SRAM CELL BASED ON CNTFET AT 32nm TECHN...VLSICS Design
 
DESIGN AND PERFORMANCE ANALYSIS OF ULTRA LOW POWER 6T SRAM USING ADIABATIC TE...
DESIGN AND PERFORMANCE ANALYSIS OF ULTRA LOW POWER 6T SRAM USING ADIABATIC TE...DESIGN AND PERFORMANCE ANALYSIS OF ULTRA LOW POWER 6T SRAM USING ADIABATIC TE...
DESIGN AND PERFORMANCE ANALYSIS OF ULTRA LOW POWER 6T SRAM USING ADIABATIC TE...VLSICS Design
 
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...idescitation
 
250nm Technology Based Low Power SRAM Memory
250nm Technology Based Low Power SRAM Memory250nm Technology Based Low Power SRAM Memory
250nm Technology Based Low Power SRAM Memoryiosrjce
 
IRJET- Novel Design of Low Power Nonvolatile 10T1R SRAM Cell
IRJET-  	  Novel Design of Low Power Nonvolatile 10T1R SRAM CellIRJET-  	  Novel Design of Low Power Nonvolatile 10T1R SRAM Cell
IRJET- Novel Design of Low Power Nonvolatile 10T1R SRAM CellIRJET Journal
 
Design & Implementation of Subthreshold Memory Cell design based on the prima...
Design & Implementation of Subthreshold Memory Cell design based on the prima...Design & Implementation of Subthreshold Memory Cell design based on the prima...
Design & Implementation of Subthreshold Memory Cell design based on the prima...IOSRJVSP
 
DESIGN AND MODELLING OF DIFFERENT SRAM’S BASED ON CNTFET 32NM TECHNOLOGY
DESIGN AND MODELLING OF DIFFERENT SRAM’S BASED ON CNTFET 32NM TECHNOLOGYDESIGN AND MODELLING OF DIFFERENT SRAM’S BASED ON CNTFET 32NM TECHNOLOGY
DESIGN AND MODELLING OF DIFFERENT SRAM’S BASED ON CNTFET 32NM TECHNOLOGYVLSICS Design
 

Similar to CELL STABILITY ANALYSIS OF CONVENTIONAL 6T DYNAMIC 8T SRAM CELL IN 45NM TECHNOLOGY (20)

Implementation of High Reliable 6T SRAM Cell Design
Implementation of High Reliable 6T SRAM Cell DesignImplementation of High Reliable 6T SRAM Cell Design
Implementation of High Reliable 6T SRAM Cell Design
 
IMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAMIMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAM
 
IMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAMIMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAM
 
IMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAMIMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAM
 
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
 
PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...
PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...
PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...
 
B0540714
B0540714B0540714
B0540714
 
SINGLE-PORT FIVE-TRANSISTOR SRAM CELL WITH REDUCED LEAKAGE CURRENT IN STANDBY
SINGLE-PORT FIVE-TRANSISTOR SRAM CELL WITH REDUCED LEAKAGE CURRENT IN STANDBYSINGLE-PORT FIVE-TRANSISTOR SRAM CELL WITH REDUCED LEAKAGE CURRENT IN STANDBY
SINGLE-PORT FIVE-TRANSISTOR SRAM CELL WITH REDUCED LEAKAGE CURRENT IN STANDBY
 
Static-Noise-Margin Analysis of Modified 6T SRAM Cell during Read Operation
Static-Noise-Margin Analysis of Modified 6T SRAM Cell during Read OperationStatic-Noise-Margin Analysis of Modified 6T SRAM Cell during Read Operation
Static-Noise-Margin Analysis of Modified 6T SRAM Cell during Read Operation
 
Design of Low Write-Power Consumption SRAM Cell Based on CNTFET at 32nm Techn...
Design of Low Write-Power Consumption SRAM Cell Based on CNTFET at 32nm Techn...Design of Low Write-Power Consumption SRAM Cell Based on CNTFET at 32nm Techn...
Design of Low Write-Power Consumption SRAM Cell Based on CNTFET at 32nm Techn...
 
DESIGN OF LOW WRITE-POWER CONSUMPTION SRAM CELL BASED ON CNTFET AT 32nm TECHN...
DESIGN OF LOW WRITE-POWER CONSUMPTION SRAM CELL BASED ON CNTFET AT 32nm TECHN...DESIGN OF LOW WRITE-POWER CONSUMPTION SRAM CELL BASED ON CNTFET AT 32nm TECHN...
DESIGN OF LOW WRITE-POWER CONSUMPTION SRAM CELL BASED ON CNTFET AT 32nm TECHN...
 
DESIGN AND PERFORMANCE ANALYSIS OF ULTRA LOW POWER 6T SRAM USING ADIABATIC TE...
DESIGN AND PERFORMANCE ANALYSIS OF ULTRA LOW POWER 6T SRAM USING ADIABATIC TE...DESIGN AND PERFORMANCE ANALYSIS OF ULTRA LOW POWER 6T SRAM USING ADIABATIC TE...
DESIGN AND PERFORMANCE ANALYSIS OF ULTRA LOW POWER 6T SRAM USING ADIABATIC TE...
 
REALISATION OF STATIC RANDOM ACCESS MEMORY USING QUATERNARY DLATCH
REALISATION OF STATIC RANDOM ACCESS MEMORY USING QUATERNARY DLATCHREALISATION OF STATIC RANDOM ACCESS MEMORY USING QUATERNARY DLATCH
REALISATION OF STATIC RANDOM ACCESS MEMORY USING QUATERNARY DLATCH
 
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...
 
250nm Technology Based Low Power SRAM Memory
250nm Technology Based Low Power SRAM Memory250nm Technology Based Low Power SRAM Memory
250nm Technology Based Low Power SRAM Memory
 
IRJET- Novel Design of Low Power Nonvolatile 10T1R SRAM Cell
IRJET-  	  Novel Design of Low Power Nonvolatile 10T1R SRAM CellIRJET-  	  Novel Design of Low Power Nonvolatile 10T1R SRAM Cell
IRJET- Novel Design of Low Power Nonvolatile 10T1R SRAM Cell
 
Design & Implementation of Subthreshold Memory Cell design based on the prima...
Design & Implementation of Subthreshold Memory Cell design based on the prima...Design & Implementation of Subthreshold Memory Cell design based on the prima...
Design & Implementation of Subthreshold Memory Cell design based on the prima...
 
Mu2421122117
Mu2421122117Mu2421122117
Mu2421122117
 
Ijetcas14 542
Ijetcas14 542Ijetcas14 542
Ijetcas14 542
 
DESIGN AND MODELLING OF DIFFERENT SRAM’S BASED ON CNTFET 32NM TECHNOLOGY
DESIGN AND MODELLING OF DIFFERENT SRAM’S BASED ON CNTFET 32NM TECHNOLOGYDESIGN AND MODELLING OF DIFFERENT SRAM’S BASED ON CNTFET 32NM TECHNOLOGY
DESIGN AND MODELLING OF DIFFERENT SRAM’S BASED ON CNTFET 32NM TECHNOLOGY
 

Recently uploaded

Biology for Computer Engineers Course Handout.pptx
Biology for Computer Engineers Course Handout.pptxBiology for Computer Engineers Course Handout.pptx
Biology for Computer Engineers Course Handout.pptxDeepakSakkari2
 
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICSAPPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICSKurinjimalarL3
 
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur EscortsCall Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur High Profile
 
SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )Tsuyoshi Horigome
 
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130Suhani Kapoor
 
Introduction to Multiple Access Protocol.pptx
Introduction to Multiple Access Protocol.pptxIntroduction to Multiple Access Protocol.pptx
Introduction to Multiple Access Protocol.pptxupamatechverse
 
Porous Ceramics seminar and technical writing
Porous Ceramics seminar and technical writingPorous Ceramics seminar and technical writing
Porous Ceramics seminar and technical writingrakeshbaidya232001
 
Introduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptxIntroduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptxupamatechverse
 
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVHARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVRajaP95
 
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...ranjana rawat
 
Introduction and different types of Ethernet.pptx
Introduction and different types of Ethernet.pptxIntroduction and different types of Ethernet.pptx
Introduction and different types of Ethernet.pptxupamatechverse
 
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxDecoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxJoão Esperancinha
 
Processing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptxProcessing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptxpranjaldaimarysona
 
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINEMANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINESIVASHANKAR N
 
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝soniya singh
 
Call Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile serviceCall Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile servicerehmti665
 

Recently uploaded (20)

Call Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCR
Call Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCRCall Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCR
Call Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCR
 
Biology for Computer Engineers Course Handout.pptx
Biology for Computer Engineers Course Handout.pptxBiology for Computer Engineers Course Handout.pptx
Biology for Computer Engineers Course Handout.pptx
 
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICSAPPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
 
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur EscortsCall Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
 
DJARUM4D - SLOT GACOR ONLINE | SLOT DEMO ONLINE
DJARUM4D - SLOT GACOR ONLINE | SLOT DEMO ONLINEDJARUM4D - SLOT GACOR ONLINE | SLOT DEMO ONLINE
DJARUM4D - SLOT GACOR ONLINE | SLOT DEMO ONLINE
 
SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )
 
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
 
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
 
Introduction to Multiple Access Protocol.pptx
Introduction to Multiple Access Protocol.pptxIntroduction to Multiple Access Protocol.pptx
Introduction to Multiple Access Protocol.pptx
 
Porous Ceramics seminar and technical writing
Porous Ceramics seminar and technical writingPorous Ceramics seminar and technical writing
Porous Ceramics seminar and technical writing
 
Introduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptxIntroduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptx
 
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVHARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
 
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANVI) Koregaon Park Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
 
Introduction and different types of Ethernet.pptx
Introduction and different types of Ethernet.pptxIntroduction and different types of Ethernet.pptx
Introduction and different types of Ethernet.pptx
 
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxDecoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
 
Processing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptxProcessing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptx
 
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINEMANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
 
★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR
★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR
★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR
 
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
 
Call Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile serviceCall Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile service
 

CELL STABILITY ANALYSIS OF CONVENTIONAL 6T DYNAMIC 8T SRAM CELL IN 45NM TECHNOLOGY

  • 1. International Journal of VLSI design & Communication Systems (VLSICS) Vol.3, No.2, April 2012 DOI : 10.5121/vlsic.2012.3204 41 CELL STABILITY ANALYSIS OF CONVENTIONAL 6T DYNAMIC 8T SRAM CELL IN 45NM TECHNOLOGY K. Dhanumjaya1 , M. Sudha2 , Dr.MN.Giri Prasad3 , Dr.K.Padmaraju4 1 Research Scholar, Jawaharlal Nehru Technological University, Anantapur, AP, INDIA dhanu.karumanchi@gmail.com 2 PG Student, Jawaharlal Nehru Technological University, Anantapur, AP, INDIA sudhareddy87@gmail.com 3 Professor, Jawaharlal Nehru Technological University, Anantapur, AP, INDIA 4 Professor, Jawaharlal Nehru Technological University, Kakinada, AP, INDIA ABSTRACT:- A SRAM cell must meet requirements for operation in submicron/nano ranges. The scaling of CMOS technology has significant impact on SRAM cell -- random fluctuation of electrical characteristics and substantial leakage current. In this paper we present dynamic column based power supply 8T SRAM cell and comparing the proposed SRAM cell with respect to conventional SRAM 6T in various aspects. To verify read stability and write ability analysis we use N-curve metric. Simulation results affirmed that proposed 8T SRAM cell achieved improved read stability, read current, and leakage current in 45nm Technology comparing with conventional 6T SRAM using cadence virtuoso tool. Key Words:- SRAM, Leakage Current, N-curve, Read stability, Write-ability, Cadence, Virtuoso, 45nm Technology. 1. INTRODUCTION For nearly 40 years CMOS devices have been scaled down in order to achieve higher speed, performance and lower power consumption. Static Random Access Memory (SRAM) continues to be one of the most fundamental and vitally important memory technologies today. As process technology is scaled down, threshold voltage and leakage current variations are increased [1]. In the conventional 6T cell, it is difficult to find an optimum design because the both read stability and write margin must be considered. At low supply voltage 6T cell worsen in read stability. Leakage power is a high priority consideration due to feature scaling in high performance processor design. In today’s processors, the leakage power of cache was a major source of power dissipation because cache occupies more than 50% of the chip area [2]. Low leakage SRAM design leakage SRAM design has been an active area of research over the past years. Low Power and high-stability have been the main themes of SRAM designs in the last decade [8]. In this paper, we use dynamic cell supply 8T SRAM cell to address the above problems. We compare the conventional 6T and proposed 8T SRAM cell with respect to read stability and leakage.
  • 2. International Journal of VLSI design & Communication Systems (VLSICS) Vol.3, No.2, April 2012 42 The rest of the paper is organized as follows: Section 2 presents stability analysis using N-curve. Section 3 reviews the basic operation of conventional 6T SRAM cell. Section 4 presents the operating principles of proposed cell and its circuit implementation. Section 5 presents Cadence design flow. Section 6 presents simulation results. Section 7 represent conclusion of the paper. 2. STABILITY ANALYSIS USING N-CURVE Normally stability of the SRAM bit cell measured by the Static Noise Margin (SNM) and the Write Trip Point (WTP). According to [3], in this paper SRAM bit cells were analyzed using the N-Curve which is the inline tester. N-Curve gets information about both read stability, write stability at one simulation process. 2.1 READ STABILTY The cell becomes less stable with lower supply voltage, increasing leakage currents and increasing variability, all resulting from technology scaling. The stability is usually defined by the SNM as the maximum value of DC noise voltage that can be tolerated by the SRAM cell without changing the stored bit. Locating the smallest square between the two largest ones delimited by the eyes of the butterfly curve determines graphically the SNM shown in Fig1 [9]. Figure 1: SNM. The drawback of the SNM is the inability to measure the SNM with automatic inline testers, due to the fact that after measuring the butterfly curves of the cell the static current noise margin (SINM) still has to be derived by mathematical manipulation of the measured data. An alternative definition for the SRAM read stability is based on the N-curve of the cell, which is measurable by inline testers. The combined voltage and current information provided by the N-curve (Fig.2) allows to overcome the limitations of scaling described for the SNM. Voltage in internal node in (V) Figure 2: N-curve of the cell.
  • 3. International Journal of VLSI design & Communication Systems (VLSICS) Vol.3, No.2, April 2012 43 The voltage difference between point A and B indicates the maximum tolerable DC noise voltage of the cell before its content changes. This voltage metric is the static voltage noise margin (SVNM). The additional current information provided by the N-curve, namely the peak current located between point A and B, can also be used to characterize the cell read stability [3]. This current metric is the static current noise margin (SINM). For better read stability, SVNM and SINM must be high value. 2.2 WRITE STABILTY Besides the read stability for the SRAM cell, a reasonable write-trip point is equally important to guarantee the write-ability of the cell without spending too much energy in pulling down the bit-line voltage to 0 V. The SRAM N-curve can also be used as alternative for the write-ability. Since, it gives indications on how difficult or easy it is to write the cell. The negative current peak (Fig 2) between point C and B or the write-trip current (WTI) is the amount of current needed to write the cell when both bit-lines are kept at VDD. Similarly, the voltage difference between point C and B or the write-trip voltage (WTV) is the voltage drop needed to flip the internal node “1” of the cell with both the bit-lines clamped to VDD. The N-curve current information is critical for designing a cell in nanometer technologies. Moreover, it allows overcoming the read stability limit of 0.5VDD. Finally, to find the stability of the system we should consider the SVNM, SINM, WTV and WTI [3]. 3. CONVENTIONAL 6T SRAM CELL 3.1 CONSTRUCTION Fig 3 shows the conventional 6T SRAM cell which has two back to back connection of inverters using N1, P1, N2, P2 to store the single bit either ‘0’ or ‘1’. N3, N4 transistors are called as access transistors. WL is used to turn ON the access transistors. BL, /BL are bit lines. Figure 3. conventional 6T SRAM cell. 3.2 OPERATION An SRAM cell has three different states it can be in: standby where the circuit is idle, reading when the data has been requested and writing when updating the contents. The SRAM to operate in read mode and write mode should have "readability" and "write stability" respectively. The three different states work as follows [5] [7]:
  • 4. International Journal of VLSI design & Communication Systems (VLSICS) Vol.3, No.2, April 2012 44 • Standby: If the word line is not asserted, the access transistors N3 and N4 disconnect the cell from the bit lines. The two cross coupled inverters formed by P1-N1, P2-N2 will continue to reinforce each other as long as they are connected to the supply. • Reading: Assume that the content of the memory is a 1, stored at D. The read operation is done by using the sense amplifiers that pull the data and produce the output. The row decoders and column decoders are used to select the appropriate cell or cells from which the data is to be read and are given to the sense amplifiers through transmission gate. • Writing: The start of a write cycle begins by applying the value to be written to the bit lines. If we wish to write a 0, we would apply a 0 to the bit lines, i.e. setting BL bar to 1 and BL to 0. A 1 is written by inverting the values of the bit lines. WL is then asserted and the value that is to be stored is latched in. Note that the reason this works is that the bit line input-drivers are designed to be much stronger than the relatively weak transistors in the cell itself, so that they can easily override the previous state of the cross-coupled inverters. 4. PROPOSED 8T SRAM CELL 4.1 CONSTRUCTION The proposed SRAM cell consists of 8 transistors, N1-N5 and P1-P3, as shown Fig.4. Four transistors N1, N2, P1, P2 form a cross-couple structure to store data. Four transistors P3 and N3- N5 are access to the internal nodes D and /D of the cell. N3 and N4 connect the cell internal nodes D and /D of the cell. N3 and N4 connect the cell internal nodes to the BLs while P3 and N5 form an inverter to control the voltage of node C1. The source terminal of P3 is connected to a column select (CS) line while gates of P3 and N5 are connected to WL. Unlike conventional design, the sources of P1 and P2 are connected to dynamic cell supply(cell_supply) line which is raised to the higher voltage during read operation to obtain a higher noise margin [4]. 4.2 OPERATION Like a conventional 6T SRAM bit cell, it has three modes of operations: standby, read and write as follows [4]: Standby: During standby, Cell_Supply voltage is kept at VDD to maintain a high noise margin.at the same time WL is pre-charged high while all CS is pre-charged low. As a result, transistor N5 of cell is turned on to pre-charge node C1 to ground. Thus, both access transistors N3 and N4 turned off, isolating the storing element from any BL disturbances. Also, BLs are pre-charged to VDD to prepare for the next read/write operation. Reading: read operation starts by raising CS from ground to VDD and Cell_Supply is raised from VDD to VDD2. VDD2 must be higher than VDD to improve noise margin of cell during read operation. At the same time WL is pulled to low to drive node C1 to VDD and hence turning on N3 and N4. Once N3 and N4 are turned on to read the cell data, subsequent circuit operation same as the conventional 6T SRAM. Writing: Write operation of the proposed design is much simpler than its read operation. Write operation starts by asserting CS line to VDD while the WL is pulled down. Meanwhile, one of the BLs is pulled to ground while other kept at VDD. When node C1 is charged up to VDD, both N1 and N2 are turned on and input data is written into memory similar to conventional 6T SRAM.
  • 5. International Journal of VLSI design & Communication Systems (VLSICS) Vol.3, No.2, April 2012 45 Figure 4. proposed 8T SRAM cell 5. CADENCE DESIGN FLOW . Cadence design Systems is electronic design automation software and engineering Services Company that offers various types of design and verification tasks that include [6]: • Virtuoso Platform - Tools for designing full-custom integrated circuits, includes schematic entry, behavioral modeling (Verilog-AMS), circuit simulation, full custom layout, physical verification, extraction and back-annotation. Used mainly for analog, mixed-signal, RF, and standard-cell designs. • Encounter Platform - Tools for creation of digital integrated circuits. This includes floor planning, synthesis, test, and place and route. Typically a digital design starts from Verilog netlists. • Incisive Platform - Tools for simulation and functional verification of RTL including Verilog, VHDL and System C based models. Includes formal verification, formal equivalence checking, hardware acceleration, and emulation. The proposed work is done in Virtuoso platform using gpdk45 nm technology. The flow of design is as shown below.
  • 6. International Journal of VLSI design & Communication Systems (VLSICS) Vol.3, No.2, April 2012 46 Figure 5. cadence virtuoso design flow. Using above flow design of both conventional and proposed system in cadence virtuoso schematic tool was done. Fig 6 & Fig 7 shows the schematic for conventional 6T and proposed 8T using cadence virtuoso schematic editor. After that we create symbols for both and analysis those cells in various aspects. Figure 6. Conventional 6T SRAM cell design in cadence.
  • 7. International Journal of VLSI design & Communication Systems (VLSICS) Vol.3, No.2, April 2012 47 Figure 7. proposed 8T SRAM cell design in cadence. 6. SIMULATION RESULTS Cadence spectra simulation of DC analysis gave good results in 45nm technology. The results are shown in below Table 1. Below table shows the comparison between conventional 6T, proposed SRAM bit cell using N-curve. Here analysis was done based on DC simulation results by giving inputs as a dc signals to know the stability of the SRAM cell. Conventional SRAM 6T Proposed SRAM 8T CMOS Process 45nm/1V 45nm/1V Read/write process Differential Differential SVNM 325mV 668.6mV SINM 35.61 µA 98.16 µA WTV 475mV 487.8mV WTI -10.47µA -48.35µA Leakage current 10.026fA 5.21143fA Read current 5.39421pA 99.5612µA Table1. Summary of conventional 6T, Dynamic 8T SRAM Cell SRAM bit cell N-Curve analysis results: The read stability is analysed with respect to N-curve as shown in fig 8 & fig 9. Procedure to get N-curve is we need to select the dc simulation, after that by taking /D as variable input node from 0 to vdd, D as a output node measure /D node current which is in Y- axis with respect to input voltage would gives Fig.8. By observing Fig 8 measured SVNM,SINM,WTV,WTI values.
  • 8. International Journal of VLSI design & Communication Systems (VLSICS) Vol.3, No.2, April 2012 48 Figure 8. Conventional 6T SRAM cell N-curve. Figure 9. Proposed 8T SRAM cell N-curve. SRAM bit cell internal noise voltage analysis results: Fig 12 & fig 13 shows the internal voltage variations in read operation which is used to observe the variation in dc curve. Procedure to get below wave form is a one of the dc simulation for that add variable dc voltage in between the back to back inverter as shown in fig 10 , fig 11. Figure 10. schematic to internal tolerable noise voltage measure in conventional 6T SRAM bit cell.
  • 9. International Journal of VLSI design & Communication Systems (VLSICS) Vol.3, No.2, April 2012 49 Figure 11. schematic to internal tolerable noise voltage measure in dynamic 8T SRAM bit cell. Figure 12. internal voltage Vn variations in read operation of 6T. Figure 13. internal voltage Vn variations in read operation of 8T.
  • 10. International Journal of VLSI design & Communication Systems (VLSICS) Vol.3, No.2, April 2012 50 SRAM bit cell leakage current analysis results: Below fig.14 & fig. 15 shows the leakage current calculation process in read operation. This is also DC simulation. Procedure to get leakage current is create block or symbol for bit cell then connect the nmos transistor source to leakage node, apply 0 dc voltage to the gate of the nmos transistor and connect remaining nodes of the circuit to ground. Figure 14. leakage current of 6T SRAM cell in read operation. Figure15. Leakage current of 8T SRAM in read operation. 7. CONCLUSION A fully differential 8T SRAM with a column-based dynamic supply has been proposed. Analyse both conventional 6T and proposed SRAM using N-curve. The proposed SRAM 8T cell has achieved improved read stability, read current and leakage current. N-curve metric was best method to analysis the cell stability it contains both voltage and current information. So, we can analysis the cell stability correct way. Above results prove that half of the leakage current was reduced and read stability of proposed cell achieve double amount approximately with comparing SRAM 6T cell.
  • 11. International Journal of VLSI design & Communication Systems (VLSICS) Vol.3, No.2, April 2012 51 REFERENCES: [1] V. Gupta and M. Anis, “Statistical design of the 6T SRAM bit cell,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 57, no. 1, pp. 93–104, Mar. 2010. [2] C. Molina, C. Aliagas, M. Garcia, A. Gonzcalezo, J. Tubellao. Non Redundant Data Cache. In ISLPED, pages 274–277, August 2003. [3] E. Grossar et al., “Read stability and write-ability analysis of SRAM cells for nanometer technologies,” IEEE J. Solid-State Circuits, vol.41, no. 11, pp. 2577–2588, Nov. 2006. [4] Do Anh-Tuan, Jeremy Yung Shern Low, Joshua Yung Lih Low, Zhi-Hui Kong, Xiaoliang Tan, and Kiat-Seng Yeo,”An 8T Differential SRAM With Improved Noise Margin for Bit-Interleaving in 65 nm CMOS” IEEE Transnctions on circuits and systems—I :regular papers, Vol. 58, No. 6, june 2011. [5] Jan M. Rabaey,Anantha Chandrakasan and Borivoje Nikolic, ”Digital Integrated Circuits”, ISBN 81- 7808-991-2, Pearson Education, 2003. [6] Antonio J. Lopez Martin"CADENCE DESIGN ENVIRONMENT"Klipsch School of Electrical and Computer Engineering, New Mexico State University, October 2002. [7] Neil H.E.Weste,David Harris and Ayan Banerjee, “CMOS VLSI Design, a circuits and systems perspective” ,ISBN: 0321149017/9780321149015 Third edition, Pearson Education, 2005. [8] C. Ik Joon et al., “A 32 kb 10T subthreshold SRAM array with bitinterleaving and differential read scheme in 90 nm CMOS,” IEEE J. Solid-State Circuits, vol. 44, no. 2, pp. 650–658, Feb. 2009. [9] E. Seevinck et al., “Static-noise margin analysis of MOS SRAM cells,” IEEE J. Solid-State Circuits, vol. SSC-22, no. 5, pp. 748–754, Oct.1987.