SlideShare a Scribd company logo
1 of 4
Download to read offline
Miss. Varsha Phad.Int. Journal of Engineering Research and Application www.ijera.com
ISSN: 2248-9622, Vol. 7, Issue 5, (Part -I) May 2017, pp.25-28
www.ijera.com DOI: 10.9790/9622-0705012528 25 | P a g e
An Innovative Design solution for minimizing Power Dissipation
in SRAM Cell
Miss. Varsha Phad1
, Prof Swati Shetkar2
1, 2
Electronics and Communication Department, M. S. Bidve College of Engineering, Latur-413531
Email: varshaphad844@gmail.com
ABSTRACT
Over the years, the development of the logic on the chip is increased. To sustain and drive the logic flow, various
techniques and SRAM cell designs have been implemented. The basic element of memory design is 6T SRAM
cell. But while dealing with this 6T SRAM cell there are some issues with the parametric analysis on the
performance of the cell. This paper presents an innovative design idea of new 8T RAM cell with various
parametric analysis. The proposed cell is compared with the standard cell in terms of different parameters such
as area, speed and power consumption along with the loading effect with the increase in load capacitance on the
cell. The structure is designed with CMOS 45 nm Technology with BSIM 4 MOS modelling using Microwind
3.5 software tool.
Keywords - 8T SRAM, Parametric analysis, BSIM4, read/write operation
I. INTRODUCTION
In modern VLSI design, the most important
consideration is the power consumption of the
design. The power consumption gets increased due
to the high integration and the speed of processor.
Designer are more concerned about the power issues
in the design rather than the speed and area of the
design. Different design implementation present
different power optimization opportunities [1].
In modern microprocessors, cell accesses
contribute ~30-60% of the total dissipated power. A
significant fraction of the cell energy is dissipated in
driving the bit-lines, which are heavily loaded with
multiple storage cells. This led circuit designers to
put more emphasis on the reduction of power
dissipation in memory cells [2].
This proposed work provides a new
approach towards the memory design and its
modelling. In this paper, we propose an improved
design on an SRAM cell, which contains two extra
tail transistors in the pull down paths of the
respective inverters to avoid charging of the bit
lines.
In conventional SRAM cell design, the
selection lines WL concern all the cells of one row.
The bit lines BL and ~BL concern all the cells of one
column. Because of this structure, in the Nano scale
technologies, the structure becomes quite leaky and
the speed and power of the design increases. On the
top of it, the loading effect also increases [2]. This
can be overcome by using the new approach of
design over the conventional 6T RAM cell by
adding two more transistor which will provide the
faster discharge leading to low power and high speed
of operation.
II. DESIGN METHODOLOGY
A. Conventional 6T RAM
The basic conventional SRAM cell consists
of 6 transistors. It uses six transistors as shown in
figure 1 to store and access one bit. Out of these 6
transistors, four transistors in the center form two
cross-coupled inverters. Because of the feedback
structure, a low logic value on the first inverter will
generate a high logic value on the second inverter,
which stores the low value on the second inverter.
Similarly, a high logic input value on the first
inverter will generate a low logic input value on the
second inverter, which feeds back the low input
value onto the first inverter. Therefore, the two
inverters will store their current logical value [2].
Figure 1: Logic Circuit- 6T RAM
The figure 1 shows the schematic diagram
for the static 6T RAM cell. Basically it consists of 6
transistor. Out of these 6 transistors, four transistors
RESEARCH ARTICLE OPEN ACCESS
Miss. Varsha Phad.Int. Journal of Engineering Research and Application www.ijera.com
ISSN: 2248-9622, Vol. 7, Issue 5, (Part -I) May 2017, pp.25-28
www.ijera.com DOI: 10.9790/9622-0705012528 26 | P a g e
in the centre form two cross-coupled inverters.
Because of the feedback structure, a low logic value
on the first inverter will generate a high logic value
on the second inverter, which stores the low value on
the second inverter.
Figure 2: Schematic design - 6T RAM Cell
SRAM uses two stable latch circuitry to
store each bit. Each bit is stored in two cross-
coupled inverters, formed by four transistors. This
storage cell has two stable states which are used to
denote 0 and 1. Two additional access transistor
control the access to the storage cell during read and
write operations. The physical design of the
conventional 6T RAM cell is shown in figure 3.
Figure 3: Physical design of 6T RAM
B. Proposed 8T RAM Cell.
In the proposed design, we are improving
the power and speed, which contains two extra tail
transistors in the pull down paths of the respective
inverters to avoid charging of the bit lines. During
write or read mode at least one of the tail transistors
will be turned off to disconnect the driving path of
respective inverters. Microwind 3.5 EDA tool is
used for the physical design and DSCH 3.5 is used
for the schematic design. The tool is used to analyse
the performance of the proposed structure with 32 m
Technology. The schematic of the proposed 8T
SRAM cell is shown in Figure 4.
Figure 4: Proposed 8 T RAM design
This cell uses the same 6T SRAM structure
for the writing operation. During write, the PMOS
and NMOS transistors of the inverters can be
maintained at the minimum width as the read
operation is separated. The physical design of the
proposed 8T SRAM cell is shown in Figure 5.
Figure 5: Physical design of 8T RAM cell
III. RESULT AND DISCUSSION:
For Write Mode
In conventional SRAM cell, the bit lines
consume more power because of long lengths with
large capacitances.
Write “1” mode: To write “1”, bit line BL is set to
“0” and the word line is enabled
Values 1 or 0 must be placed on Bit Line, and the
data inverted value on ~Bit Line. Then the selection
Word Line goes to 1. When the selection Word Line
returns to 0, the RAM is in a memory state.
Write “0” mode: To write “0”, bit line BL is set to
VDD and word line is enabled.
SIMULATION.
The simulation parameters correspond to
Miss. Varsha Phad.Int. Journal of Engineering Research and Application www.ijera.com
ISSN: 2248-9622, Vol. 7, Issue 5, (Part -I) May 2017, pp.25-28
www.ijera.com DOI: 10.9790/9622-0705012528 27 | P a g e
the read and write cycle in the RAM. The simulation
steps proposed in figure below consist in writing a 0,
a 1, and then reading the 1. In a second phase, we
write a 1, a 0, and read the 0. The Bit Line and ~Bit
Line signals are controlled by pulses. The floating
state is obtained by inserting the letter "x" instead of
1 or 0 in the description of the signal.
Figure 6: Simulation result of 6T RAM cell
Figure 7: Simulation result of proposed 8T RAM
cell
For finding out the effect of increase of
VDD on the RAM cell, the parametric analysis is
done on both the cells. Parametric Analysis for 6T
and 8T RAM cell design is shown below;
Figure 8: Parametric analysis- power dissipation for
6T RAM
The figure 8 above shows the parametric
analysis for 6T conventional 6T RAM cell.
Here the voltage applied to the cell is
increasing in step by step from 0v to 1 V in the step
of 0.20V. The cell consume maximum power
dissipation of 0.123 mW. It means that while
increasing the voltage to a cell form lower voltage
the higher voltages, the cell consumes more power
in case of conventional 6T RAM.
Figure 9: Parametric analysis-power dissipation (8T
RAM_proposed design)
Here in the figure 9, the voltage applied to
the cell is increasing in step by step from 0v to 1V in
the step of 0.20V. The cell consume maximum
power dissipation of 0.084 mW. It means that while
increasing the voltage to a cell form lower voltage to
the higher voltages, the cell consumes more power
in case of conventional 6T RAM.
The comparison form the simulation result
is provided with the table below. Here we can easily
understand the change in voltage with the power
dissipation of the cell.
TABLE I : The power dissipation of the Ram cell.
6T RAM Cell Proposed 8T RAM Cell
vdd(V
)
power(mWatt
)
vdd(V
)
power(mWatt
)
0.000 0.021 0.000 0.001
0.200 0.071 0.200 0.084
0.400 0.097 0.400 0.074
0.600 0.123 0.600 0.067
0.800 0.083 0.800 0.061
1.000 0.024 1.000 0.032
TABLE II. The power utilization of the Ram cell.
Power Dissipation - 6T
RAM
Power Dissipation -
proposed 8T RAM
3.609 uW 1.470 uW
TABLE III. Area utilization of the Ram cell.
Area for 6T RAM
Area for proposed 8T
RAM
2.7um2 2.8um2
Miss. Varsha Phad.Int. Journal of Engineering Research and Application www.ijera.com
ISSN: 2248-9622, Vol. 7, Issue 5, (Part -I) May 2017, pp.25-28
www.ijera.com DOI: 10.9790/9622-0705012528 28 | P a g e
The area for the desgining is almost same
as compared to the conventional 6 T RAM design.
IV. CONCLUSION
We have proposed a new design of SRAM
cell to reduce the power dissipation during write
operation by introducing two tail transistors. Due to
these tail transistors, the proposed 8T SRAM cell
provides less power consumption in comparison to
6T conventional SRAM cell. The results are verified
by performing parametric analysis on the proposed
cell.
The proposed design consumes less power
dissipation as compared to the conventional 6T
RAM cell design.
REFERENCES
[1]. R. W. Mann et al., “Ultralow-power SRAM
technology,” IBM Journal of Research and
Development” , vol.47, no.5, pp.553–566,
2003.
[2]. A. Tripathi and S. Biswas, “A 4×4 SRAM
Cell Array for Low Power Applications,”2nd
International Conference on
Nanotechnology- Innovative Materials,
Processes, Products and Applications, 2012
[3]. K. Zhang et al., “SRAM design on 65-nm
CMOS technology with dynamic sleep
transistor for leakage reduction,” IEEE J.
Solid-State Circuits, vol. 40, no. 4, pp. 895-
901,Apr. 2005.
[4]. M. M. Ziegler and M. R. Stan,
“CMOS/nano co-design for crossbar-based
molecular electronic systems,” IEEE Trans.
Nanotechnology, vol. 2, no. 4, pp. 217–230,
Dec. 2003.
[5]. Etienne SICARD, CHEN Xi, A PC- based
educational tool for CMOS Integrated
Circuit Design, INSA, and Department of
Electrical & Computer Engineering Av de
Ranguei

More Related Content

What's hot

A Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram CellA Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram CellIJERA Editor
 
Project Report Of SRAM Design
Project Report Of SRAM DesignProject Report Of SRAM Design
Project Report Of SRAM DesignAalay Kapadia
 
Sram memory design
Sram memory designSram memory design
Sram memory designNIT Goa
 
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...IJECEIAES
 
Implementation of High Reliable 6T SRAM Cell Design
Implementation of High Reliable 6T SRAM Cell DesignImplementation of High Reliable 6T SRAM Cell Design
Implementation of High Reliable 6T SRAM Cell Designiosrjce
 
Design and Simulation Low power SRAM Circuits
Design and Simulation Low power SRAM CircuitsDesign and Simulation Low power SRAM Circuits
Design and Simulation Low power SRAM Circuitsijsrd.com
 
Track e low voltage sram - adam teman bgu
Track e   low voltage sram - adam teman bguTrack e   low voltage sram - adam teman bgu
Track e low voltage sram - adam teman bguchiportal
 
SRAM- Ultra low voltage operation
SRAM- Ultra low voltage operationSRAM- Ultra low voltage operation
SRAM- Ultra low voltage operationTeam-VLSI-ITMU
 
PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...
PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...
PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...VLSICS Design
 
A SINGLE-ENDED AND BIT-INTERLEAVING 7T SRAM CELL IN SUB-THRESHOLD REGION WITH...
A SINGLE-ENDED AND BIT-INTERLEAVING 7T SRAM CELL IN SUB-THRESHOLD REGION WITH...A SINGLE-ENDED AND BIT-INTERLEAVING 7T SRAM CELL IN SUB-THRESHOLD REGION WITH...
A SINGLE-ENDED AND BIT-INTERLEAVING 7T SRAM CELL IN SUB-THRESHOLD REGION WITH...jedt_journal
 

What's hot (18)

A Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram CellA Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
 
Project Report Of SRAM Design
Project Report Of SRAM DesignProject Report Of SRAM Design
Project Report Of SRAM Design
 
Fj3110731078
Fj3110731078Fj3110731078
Fj3110731078
 
Sram memory design
Sram memory designSram memory design
Sram memory design
 
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
 
Implementation of High Reliable 6T SRAM Cell Design
Implementation of High Reliable 6T SRAM Cell DesignImplementation of High Reliable 6T SRAM Cell Design
Implementation of High Reliable 6T SRAM Cell Design
 
Design and Simulation Low power SRAM Circuits
Design and Simulation Low power SRAM CircuitsDesign and Simulation Low power SRAM Circuits
Design and Simulation Low power SRAM Circuits
 
Track e low voltage sram - adam teman bgu
Track e   low voltage sram - adam teman bguTrack e   low voltage sram - adam teman bgu
Track e low voltage sram - adam teman bgu
 
SRAM- Ultra low voltage operation
SRAM- Ultra low voltage operationSRAM- Ultra low voltage operation
SRAM- Ultra low voltage operation
 
Low power sram
Low power sramLow power sram
Low power sram
 
Sram pdf
Sram pdfSram pdf
Sram pdf
 
PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...
PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...
PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...
 
Kc2517811784
Kc2517811784Kc2517811784
Kc2517811784
 
Df33642645
Df33642645Df33642645
Df33642645
 
C0211520
C0211520C0211520
C0211520
 
SRAM
SRAMSRAM
SRAM
 
Sram technology
Sram technologySram technology
Sram technology
 
A SINGLE-ENDED AND BIT-INTERLEAVING 7T SRAM CELL IN SUB-THRESHOLD REGION WITH...
A SINGLE-ENDED AND BIT-INTERLEAVING 7T SRAM CELL IN SUB-THRESHOLD REGION WITH...A SINGLE-ENDED AND BIT-INTERLEAVING 7T SRAM CELL IN SUB-THRESHOLD REGION WITH...
A SINGLE-ENDED AND BIT-INTERLEAVING 7T SRAM CELL IN SUB-THRESHOLD REGION WITH...
 

Similar to An Innovative Design solution for minimizing Power Dissipation in SRAM Cell

Advantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAMAdvantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAMIJSRED
 
Advantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAMAdvantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAMIJSRED
 
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...idescitation
 
The International Journal of Engineering and Science (The IJES)
The International Journal of Engineering and Science (The IJES)The International Journal of Engineering and Science (The IJES)
The International Journal of Engineering and Science (The IJES)theijes
 
Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...
Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...
Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...IRJET Journal
 
10T Dual-voltage Low Power SRAM Project Report
10T Dual-voltage Low Power SRAM Project Report10T Dual-voltage Low Power SRAM Project Report
10T Dual-voltage Low Power SRAM Project ReportJie Song
 
“Power and Temperature Analysis of 12T CMOS SRAM Designed With Short Channel ...
“Power and Temperature Analysis of 12T CMOS SRAM Designed With Short Channel ...“Power and Temperature Analysis of 12T CMOS SRAM Designed With Short Channel ...
“Power and Temperature Analysis of 12T CMOS SRAM Designed With Short Channel ...iosrjce
 
MTJ-Based Nonvolatile 9T SRAM Cell
MTJ-Based Nonvolatile 9T SRAM CellMTJ-Based Nonvolatile 9T SRAM Cell
MTJ-Based Nonvolatile 9T SRAM Cellidescitation
 
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...IOSR Journals
 
IRJET- Design of Energy Efficient 8T SRAM Cell at 90nm Technology
IRJET-  	  Design of Energy Efficient 8T SRAM Cell at 90nm TechnologyIRJET-  	  Design of Energy Efficient 8T SRAM Cell at 90nm Technology
IRJET- Design of Energy Efficient 8T SRAM Cell at 90nm TechnologyIRJET Journal
 
Study and Analysis of Low Power SRAM Memory Array at nano-scaled Technology
Study and Analysis of Low Power SRAM Memory Array at nano-scaled TechnologyStudy and Analysis of Low Power SRAM Memory Array at nano-scaled Technology
Study and Analysis of Low Power SRAM Memory Array at nano-scaled TechnologyIRJET Journal
 
Calculo de la potencia consumida en una celda SRAM
Calculo de la potencia consumida en una celda SRAMCalculo de la potencia consumida en una celda SRAM
Calculo de la potencia consumida en una celda SRAMJose Angel Mitma Mollisaca
 
Design of Low Power High Density SRAM Bit Cell
Design of Low Power High Density SRAM Bit CellDesign of Low Power High Density SRAM Bit Cell
Design of Low Power High Density SRAM Bit CellIRJET Journal
 
Using CMOS Sub-Micron Technology VLSI Implementation of Low Power, High Speed...
Using CMOS Sub-Micron Technology VLSI Implementation of Low Power, High Speed...Using CMOS Sub-Micron Technology VLSI Implementation of Low Power, High Speed...
Using CMOS Sub-Micron Technology VLSI Implementation of Low Power, High Speed...VLSICS Design
 
Using CMOS Sub-Micron Technology VLSI Implementation of Low Power, High Spee...
 Using CMOS Sub-Micron Technology VLSI Implementation of Low Power, High Spee... Using CMOS Sub-Micron Technology VLSI Implementation of Low Power, High Spee...
Using CMOS Sub-Micron Technology VLSI Implementation of Low Power, High Spee...VLSICS Design
 
ZEROTH REVIEW ppt.pptx
ZEROTH REVIEW ppt.pptxZEROTH REVIEW ppt.pptx
ZEROTH REVIEW ppt.pptxSiddhu0072
 
IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...
IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...
IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...IRJET Journal
 
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...iosrjce
 

Similar to An Innovative Design solution for minimizing Power Dissipation in SRAM Cell (20)

Advantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAMAdvantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAM
 
Advantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAMAdvantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAM
 
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...
 
Kc2517811784
Kc2517811784Kc2517811784
Kc2517811784
 
The International Journal of Engineering and Science (The IJES)
The International Journal of Engineering and Science (The IJES)The International Journal of Engineering and Science (The IJES)
The International Journal of Engineering and Science (The IJES)
 
Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...
Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...
Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...
 
10T Dual-voltage Low Power SRAM Project Report
10T Dual-voltage Low Power SRAM Project Report10T Dual-voltage Low Power SRAM Project Report
10T Dual-voltage Low Power SRAM Project Report
 
“Power and Temperature Analysis of 12T CMOS SRAM Designed With Short Channel ...
“Power and Temperature Analysis of 12T CMOS SRAM Designed With Short Channel ...“Power and Temperature Analysis of 12T CMOS SRAM Designed With Short Channel ...
“Power and Temperature Analysis of 12T CMOS SRAM Designed With Short Channel ...
 
MTJ-Based Nonvolatile 9T SRAM Cell
MTJ-Based Nonvolatile 9T SRAM CellMTJ-Based Nonvolatile 9T SRAM Cell
MTJ-Based Nonvolatile 9T SRAM Cell
 
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
 
IRJET- Design of Energy Efficient 8T SRAM Cell at 90nm Technology
IRJET-  	  Design of Energy Efficient 8T SRAM Cell at 90nm TechnologyIRJET-  	  Design of Energy Efficient 8T SRAM Cell at 90nm Technology
IRJET- Design of Energy Efficient 8T SRAM Cell at 90nm Technology
 
Study and Analysis of Low Power SRAM Memory Array at nano-scaled Technology
Study and Analysis of Low Power SRAM Memory Array at nano-scaled TechnologyStudy and Analysis of Low Power SRAM Memory Array at nano-scaled Technology
Study and Analysis of Low Power SRAM Memory Array at nano-scaled Technology
 
30_Design.pdf
30_Design.pdf30_Design.pdf
30_Design.pdf
 
Calculo de la potencia consumida en una celda SRAM
Calculo de la potencia consumida en una celda SRAMCalculo de la potencia consumida en una celda SRAM
Calculo de la potencia consumida en una celda SRAM
 
Design of Low Power High Density SRAM Bit Cell
Design of Low Power High Density SRAM Bit CellDesign of Low Power High Density SRAM Bit Cell
Design of Low Power High Density SRAM Bit Cell
 
Using CMOS Sub-Micron Technology VLSI Implementation of Low Power, High Speed...
Using CMOS Sub-Micron Technology VLSI Implementation of Low Power, High Speed...Using CMOS Sub-Micron Technology VLSI Implementation of Low Power, High Speed...
Using CMOS Sub-Micron Technology VLSI Implementation of Low Power, High Speed...
 
Using CMOS Sub-Micron Technology VLSI Implementation of Low Power, High Spee...
 Using CMOS Sub-Micron Technology VLSI Implementation of Low Power, High Spee... Using CMOS Sub-Micron Technology VLSI Implementation of Low Power, High Spee...
Using CMOS Sub-Micron Technology VLSI Implementation of Low Power, High Spee...
 
ZEROTH REVIEW ppt.pptx
ZEROTH REVIEW ppt.pptxZEROTH REVIEW ppt.pptx
ZEROTH REVIEW ppt.pptx
 
IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...
IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...
IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...
 
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...
 

Recently uploaded

Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Dr.Costas Sachpazis
 
UNIT-V FMM.HYDRAULIC TURBINE - Construction and working
UNIT-V FMM.HYDRAULIC TURBINE - Construction and workingUNIT-V FMM.HYDRAULIC TURBINE - Construction and working
UNIT-V FMM.HYDRAULIC TURBINE - Construction and workingrknatarajan
 
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130Suhani Kapoor
 
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur High Profile
 
SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )Tsuyoshi Horigome
 
College Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service NashikCollege Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service NashikCall Girls in Nagpur High Profile
 
UNIT - IV - Air Compressors and its Performance
UNIT - IV - Air Compressors and its PerformanceUNIT - IV - Air Compressors and its Performance
UNIT - IV - Air Compressors and its Performancesivaprakash250
 
Microscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptxMicroscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptxpurnimasatapathy1234
 
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...Dr.Costas Sachpazis
 
Extrusion Processes and Their Limitations
Extrusion Processes and Their LimitationsExtrusion Processes and Their Limitations
Extrusion Processes and Their Limitations120cr0395
 
Call Girls Service Nashik Vaishnavi 7001305949 Independent Escort Service Nashik
Call Girls Service Nashik Vaishnavi 7001305949 Independent Escort Service NashikCall Girls Service Nashik Vaishnavi 7001305949 Independent Escort Service Nashik
Call Girls Service Nashik Vaishnavi 7001305949 Independent Escort Service NashikCall Girls in Nagpur High Profile
 
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur EscortsCall Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur High Profile
 
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escortsranjana rawat
 
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICSAPPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICSKurinjimalarL3
 
CCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete Record
CCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete RecordCCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete Record
CCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete RecordAsst.prof M.Gokilavani
 
UNIT-III FMM. DIMENSIONAL ANALYSIS
UNIT-III FMM.        DIMENSIONAL ANALYSISUNIT-III FMM.        DIMENSIONAL ANALYSIS
UNIT-III FMM. DIMENSIONAL ANALYSISrknatarajan
 
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130Suhani Kapoor
 
Top Rated Pune Call Girls Budhwar Peth ⟟ 6297143586 ⟟ Call Me For Genuine Se...
Top Rated  Pune Call Girls Budhwar Peth ⟟ 6297143586 ⟟ Call Me For Genuine Se...Top Rated  Pune Call Girls Budhwar Peth ⟟ 6297143586 ⟟ Call Me For Genuine Se...
Top Rated Pune Call Girls Budhwar Peth ⟟ 6297143586 ⟟ Call Me For Genuine Se...Call Girls in Nagpur High Profile
 

Recently uploaded (20)

Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
 
UNIT-V FMM.HYDRAULIC TURBINE - Construction and working
UNIT-V FMM.HYDRAULIC TURBINE - Construction and workingUNIT-V FMM.HYDRAULIC TURBINE - Construction and working
UNIT-V FMM.HYDRAULIC TURBINE - Construction and working
 
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
 
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
 
SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )
 
College Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service NashikCollege Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
 
UNIT - IV - Air Compressors and its Performance
UNIT - IV - Air Compressors and its PerformanceUNIT - IV - Air Compressors and its Performance
UNIT - IV - Air Compressors and its Performance
 
Microscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptxMicroscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptx
 
★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR
★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR
★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR
 
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
 
Extrusion Processes and Their Limitations
Extrusion Processes and Their LimitationsExtrusion Processes and Their Limitations
Extrusion Processes and Their Limitations
 
Call Girls Service Nashik Vaishnavi 7001305949 Independent Escort Service Nashik
Call Girls Service Nashik Vaishnavi 7001305949 Independent Escort Service NashikCall Girls Service Nashik Vaishnavi 7001305949 Independent Escort Service Nashik
Call Girls Service Nashik Vaishnavi 7001305949 Independent Escort Service Nashik
 
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur EscortsCall Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
 
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
 
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICSAPPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
 
DJARUM4D - SLOT GACOR ONLINE | SLOT DEMO ONLINE
DJARUM4D - SLOT GACOR ONLINE | SLOT DEMO ONLINEDJARUM4D - SLOT GACOR ONLINE | SLOT DEMO ONLINE
DJARUM4D - SLOT GACOR ONLINE | SLOT DEMO ONLINE
 
CCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete Record
CCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete RecordCCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete Record
CCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete Record
 
UNIT-III FMM. DIMENSIONAL ANALYSIS
UNIT-III FMM.        DIMENSIONAL ANALYSISUNIT-III FMM.        DIMENSIONAL ANALYSIS
UNIT-III FMM. DIMENSIONAL ANALYSIS
 
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
 
Top Rated Pune Call Girls Budhwar Peth ⟟ 6297143586 ⟟ Call Me For Genuine Se...
Top Rated  Pune Call Girls Budhwar Peth ⟟ 6297143586 ⟟ Call Me For Genuine Se...Top Rated  Pune Call Girls Budhwar Peth ⟟ 6297143586 ⟟ Call Me For Genuine Se...
Top Rated Pune Call Girls Budhwar Peth ⟟ 6297143586 ⟟ Call Me For Genuine Se...
 

An Innovative Design solution for minimizing Power Dissipation in SRAM Cell

  • 1. Miss. Varsha Phad.Int. Journal of Engineering Research and Application www.ijera.com ISSN: 2248-9622, Vol. 7, Issue 5, (Part -I) May 2017, pp.25-28 www.ijera.com DOI: 10.9790/9622-0705012528 25 | P a g e An Innovative Design solution for minimizing Power Dissipation in SRAM Cell Miss. Varsha Phad1 , Prof Swati Shetkar2 1, 2 Electronics and Communication Department, M. S. Bidve College of Engineering, Latur-413531 Email: varshaphad844@gmail.com ABSTRACT Over the years, the development of the logic on the chip is increased. To sustain and drive the logic flow, various techniques and SRAM cell designs have been implemented. The basic element of memory design is 6T SRAM cell. But while dealing with this 6T SRAM cell there are some issues with the parametric analysis on the performance of the cell. This paper presents an innovative design idea of new 8T RAM cell with various parametric analysis. The proposed cell is compared with the standard cell in terms of different parameters such as area, speed and power consumption along with the loading effect with the increase in load capacitance on the cell. The structure is designed with CMOS 45 nm Technology with BSIM 4 MOS modelling using Microwind 3.5 software tool. Keywords - 8T SRAM, Parametric analysis, BSIM4, read/write operation I. INTRODUCTION In modern VLSI design, the most important consideration is the power consumption of the design. The power consumption gets increased due to the high integration and the speed of processor. Designer are more concerned about the power issues in the design rather than the speed and area of the design. Different design implementation present different power optimization opportunities [1]. In modern microprocessors, cell accesses contribute ~30-60% of the total dissipated power. A significant fraction of the cell energy is dissipated in driving the bit-lines, which are heavily loaded with multiple storage cells. This led circuit designers to put more emphasis on the reduction of power dissipation in memory cells [2]. This proposed work provides a new approach towards the memory design and its modelling. In this paper, we propose an improved design on an SRAM cell, which contains two extra tail transistors in the pull down paths of the respective inverters to avoid charging of the bit lines. In conventional SRAM cell design, the selection lines WL concern all the cells of one row. The bit lines BL and ~BL concern all the cells of one column. Because of this structure, in the Nano scale technologies, the structure becomes quite leaky and the speed and power of the design increases. On the top of it, the loading effect also increases [2]. This can be overcome by using the new approach of design over the conventional 6T RAM cell by adding two more transistor which will provide the faster discharge leading to low power and high speed of operation. II. DESIGN METHODOLOGY A. Conventional 6T RAM The basic conventional SRAM cell consists of 6 transistors. It uses six transistors as shown in figure 1 to store and access one bit. Out of these 6 transistors, four transistors in the center form two cross-coupled inverters. Because of the feedback structure, a low logic value on the first inverter will generate a high logic value on the second inverter, which stores the low value on the second inverter. Similarly, a high logic input value on the first inverter will generate a low logic input value on the second inverter, which feeds back the low input value onto the first inverter. Therefore, the two inverters will store their current logical value [2]. Figure 1: Logic Circuit- 6T RAM The figure 1 shows the schematic diagram for the static 6T RAM cell. Basically it consists of 6 transistor. Out of these 6 transistors, four transistors RESEARCH ARTICLE OPEN ACCESS
  • 2. Miss. Varsha Phad.Int. Journal of Engineering Research and Application www.ijera.com ISSN: 2248-9622, Vol. 7, Issue 5, (Part -I) May 2017, pp.25-28 www.ijera.com DOI: 10.9790/9622-0705012528 26 | P a g e in the centre form two cross-coupled inverters. Because of the feedback structure, a low logic value on the first inverter will generate a high logic value on the second inverter, which stores the low value on the second inverter. Figure 2: Schematic design - 6T RAM Cell SRAM uses two stable latch circuitry to store each bit. Each bit is stored in two cross- coupled inverters, formed by four transistors. This storage cell has two stable states which are used to denote 0 and 1. Two additional access transistor control the access to the storage cell during read and write operations. The physical design of the conventional 6T RAM cell is shown in figure 3. Figure 3: Physical design of 6T RAM B. Proposed 8T RAM Cell. In the proposed design, we are improving the power and speed, which contains two extra tail transistors in the pull down paths of the respective inverters to avoid charging of the bit lines. During write or read mode at least one of the tail transistors will be turned off to disconnect the driving path of respective inverters. Microwind 3.5 EDA tool is used for the physical design and DSCH 3.5 is used for the schematic design. The tool is used to analyse the performance of the proposed structure with 32 m Technology. The schematic of the proposed 8T SRAM cell is shown in Figure 4. Figure 4: Proposed 8 T RAM design This cell uses the same 6T SRAM structure for the writing operation. During write, the PMOS and NMOS transistors of the inverters can be maintained at the minimum width as the read operation is separated. The physical design of the proposed 8T SRAM cell is shown in Figure 5. Figure 5: Physical design of 8T RAM cell III. RESULT AND DISCUSSION: For Write Mode In conventional SRAM cell, the bit lines consume more power because of long lengths with large capacitances. Write “1” mode: To write “1”, bit line BL is set to “0” and the word line is enabled Values 1 or 0 must be placed on Bit Line, and the data inverted value on ~Bit Line. Then the selection Word Line goes to 1. When the selection Word Line returns to 0, the RAM is in a memory state. Write “0” mode: To write “0”, bit line BL is set to VDD and word line is enabled. SIMULATION. The simulation parameters correspond to
  • 3. Miss. Varsha Phad.Int. Journal of Engineering Research and Application www.ijera.com ISSN: 2248-9622, Vol. 7, Issue 5, (Part -I) May 2017, pp.25-28 www.ijera.com DOI: 10.9790/9622-0705012528 27 | P a g e the read and write cycle in the RAM. The simulation steps proposed in figure below consist in writing a 0, a 1, and then reading the 1. In a second phase, we write a 1, a 0, and read the 0. The Bit Line and ~Bit Line signals are controlled by pulses. The floating state is obtained by inserting the letter "x" instead of 1 or 0 in the description of the signal. Figure 6: Simulation result of 6T RAM cell Figure 7: Simulation result of proposed 8T RAM cell For finding out the effect of increase of VDD on the RAM cell, the parametric analysis is done on both the cells. Parametric Analysis for 6T and 8T RAM cell design is shown below; Figure 8: Parametric analysis- power dissipation for 6T RAM The figure 8 above shows the parametric analysis for 6T conventional 6T RAM cell. Here the voltage applied to the cell is increasing in step by step from 0v to 1 V in the step of 0.20V. The cell consume maximum power dissipation of 0.123 mW. It means that while increasing the voltage to a cell form lower voltage the higher voltages, the cell consumes more power in case of conventional 6T RAM. Figure 9: Parametric analysis-power dissipation (8T RAM_proposed design) Here in the figure 9, the voltage applied to the cell is increasing in step by step from 0v to 1V in the step of 0.20V. The cell consume maximum power dissipation of 0.084 mW. It means that while increasing the voltage to a cell form lower voltage to the higher voltages, the cell consumes more power in case of conventional 6T RAM. The comparison form the simulation result is provided with the table below. Here we can easily understand the change in voltage with the power dissipation of the cell. TABLE I : The power dissipation of the Ram cell. 6T RAM Cell Proposed 8T RAM Cell vdd(V ) power(mWatt ) vdd(V ) power(mWatt ) 0.000 0.021 0.000 0.001 0.200 0.071 0.200 0.084 0.400 0.097 0.400 0.074 0.600 0.123 0.600 0.067 0.800 0.083 0.800 0.061 1.000 0.024 1.000 0.032 TABLE II. The power utilization of the Ram cell. Power Dissipation - 6T RAM Power Dissipation - proposed 8T RAM 3.609 uW 1.470 uW TABLE III. Area utilization of the Ram cell. Area for 6T RAM Area for proposed 8T RAM 2.7um2 2.8um2
  • 4. Miss. Varsha Phad.Int. Journal of Engineering Research and Application www.ijera.com ISSN: 2248-9622, Vol. 7, Issue 5, (Part -I) May 2017, pp.25-28 www.ijera.com DOI: 10.9790/9622-0705012528 28 | P a g e The area for the desgining is almost same as compared to the conventional 6 T RAM design. IV. CONCLUSION We have proposed a new design of SRAM cell to reduce the power dissipation during write operation by introducing two tail transistors. Due to these tail transistors, the proposed 8T SRAM cell provides less power consumption in comparison to 6T conventional SRAM cell. The results are verified by performing parametric analysis on the proposed cell. The proposed design consumes less power dissipation as compared to the conventional 6T RAM cell design. REFERENCES [1]. R. W. Mann et al., “Ultralow-power SRAM technology,” IBM Journal of Research and Development” , vol.47, no.5, pp.553–566, 2003. [2]. A. Tripathi and S. Biswas, “A 4×4 SRAM Cell Array for Low Power Applications,”2nd International Conference on Nanotechnology- Innovative Materials, Processes, Products and Applications, 2012 [3]. K. Zhang et al., “SRAM design on 65-nm CMOS technology with dynamic sleep transistor for leakage reduction,” IEEE J. Solid-State Circuits, vol. 40, no. 4, pp. 895- 901,Apr. 2005. [4]. M. M. Ziegler and M. R. Stan, “CMOS/nano co-design for crossbar-based molecular electronic systems,” IEEE Trans. Nanotechnology, vol. 2, no. 4, pp. 217–230, Dec. 2003. [5]. Etienne SICARD, CHEN Xi, A PC- based educational tool for CMOS Integrated Circuit Design, INSA, and Department of Electrical & Computer Engineering Av de Ranguei