SlideShare a Scribd company logo
IJRET: International Journal of Research in Engineering and Technology eISSN: 2319-1163 | pISSN: 2321-7308
__________________________________________________________________________________________
Volume: 03 Issue: 03 | Mar-2014, Available @ http://www.ijret.org 273
LOC, LOS AND LOES AT-SPEED TESTING METHODOLOGIES FOR
AUTOMATIC TEST PATTERN GENERATION USING TRANSITION
DELAY FAULT MODEL
Parth Borda1
, Pankaj Prajapati2
1
M.E, Department of Electronics & Communication, L.D.College of Engineering, Gujarat, India
2
Assistant Professor, Department of Electronics & Communication, L.D.College of Engineering, Gujarat, India
Abstract
Faults, caused by timing-related defects in very large scale integrated circuits, are important to detect to optimize coverage and test
time. Delay faults are only due to timing malfunction. At-speed test is only method to detect these delay faults. This paper describes
and compares different at-speed testing techniques on vivid point of views along with them practical implementation. This paper also
shows results generated by automatic test pattern generation tool for these techniques. Next, generated test patterns are simulated by
using simulator and correctness of these methods are verified.
Keywords: LOC (Launch on capture), LOS (Launch on shift), LOES (Launch on extra shift), At-speed testing.
----------------------------------------------------------------------***------------------------------------------------------------------------
1. INTRODUCTION
Down scaling of feature sizes in current submicron technology
results in high operating frequencies and clock speeds. For this
current VLSI technology, testing of only stuck-at fault is not
sufficient. It is very important to detect faults produced by
timing-related defect, which cannot be detected by ATEs
whose frequency are lower than operating frequency of
design. Timing related defect causes delay faults like
transition delay fault and path delay fault. They can only be
detected when testing frequency is same as functional
frequency. This type of testing of design is called At-Speed
testing. Transition delay model and path delay model are two
widely used at-speed model today. [6][7]
Unlike stuck-at fault test, at-speed test requires two test
vectors or test patterns. First pattern initialize or active the
fault at input of combinational logic block and second pattern
launch transition in the logic value at fault site and propagate
this transition to the outputs of the combinational block which
are captured back in the scan chains. For an example, as
shown in figure-1, second output signal cannot be detected
because it is exerted by delay fault. Delay test can be
classified based on how second vector is obtained as Launch
on shift test (Skewed load delay test), Launch on capture test
(Broad side delay test) and Launch on extra shift. [6]
This section-I introduce transition and path delay faults, delay
fault models and at-speed testing. Section-II explains LOC and
LOS techniques for transition fault detection along with their
advantages and disadvantages. Also we discuss importance of
them in the design. Section-III explains LOES technique in
detail along with its benefits and its comparison with other
two techniques. Section-IV shows practical results and
simulations of these delay fault detection techniques. And
section-V concludes the paper by comparing all techniques.
Fig-1: At-speed testing for a pattern (Pass – if transition
captured and Fail – if transition not captured) [6]
1.1 Transition Delay and Path Delay Fault Models
Transition fault model assumes only one gate is affected by
slow-to rise fault and slow-to-fall fault. In faulty circuit, each
gate has nominal delay and in the faulty circuit, any gate is
exerted by high value of this delay. So under transition delay
fault model, extra delay caused by delay fault is large enough.
Hence signal cannot make transition within time of
observation at primary output of combinational block. This
transition delay fault is observed independently, whether it is
IJRET: International Journal of Research in Engineering and Technology eISSN: 2319-1163 | pISSN: 2321-7308
__________________________________________________________________________________________
Volume: 03 Issue: 03 | Mar-2014, Available @ http://www.ijret.org 274
propagated through short or longer path, at output. The
advantage of this fault model is that number of faults in the
circuit are relatively small or linear in terms of the number of
gates. [1]
In the path delay fault model, circuit is behave like faulty if
the delay of any of its path exceeds threshold limit. The delay
defect on a path is detected by propagating transition through
the path therefore transition is applied at the begging of the
path. The limitation of path delay fault model is that number
of paths in the circuit are very large. One strategy commonly
is used for path delay fault testing is to select all paths which
delays are greater than specified threshold. The reason of
selecting the longer path is that the defects on shorter paths
might not affect the circuit behaviour and if it large enough to
affect circuit performance, it would be detected by transition
delay fault test. [1]
2. LAUNCH ON CAPTURE (LOC) and LAUNCH
ON SHIFT (LOS)
Main transition fault ATPG methodologies are Launch on
Capture and Launch on Shift (also known as broadside-load
and skewed-load respectively). They both launch transition at
the input of combinational block in different way for the same
fault detection.
As shown in figure-2, two vectors V1 and V2 are used to
perform transition delay fault testing. Here figure-2(a)
describes the LOC waveform. As illustrated, last shift of scan
chain initialize the inputs of combinational block and first
functional clock is used to launch transition in the combination
block (here scan enable signal is de-asserted after V1).
(a)
(b)
Fig-2 (a): LOC Waveform (b) LOS Waveform [2]
Second functional clock would captures the propagated
transition at the output. Then scan enable signal would
asserted. Example, for scan chain having N scan-length, in
LOC, first vector of N bit is loaded in to scan chain by N slow
clock. Then two fast clock (functional clock) are used to
launch and capture transition into and from the combinational
block. Again scan chain unloads with N slow clocks. Here
scan enable signal transit from high to low after last shift of
loading process. So, launch clock always occur in function
mode and launching of transition would be along function
path.
Now in LOS, launching of transition is different than LOC. In
LOS, as shown in figure-2(b), last shift clock is used as second
vector to launch transition in combinational block. Here
during launching scan enable signal remains asserted so
transition is launched along shift path. Let’s take scan chain of
scan-length N as an example, under the LOS methodology,
first N-1 bits of vector are loaded (shifted-in into scan chain)
by slow clock would initialize the logic value at input of
combinational block and Nth shift with fast clock would
launch transition. Then scan enable signal goes low. After that
fast capture clock comes as shown in figure-2(b). Again slow
clock is used to unload the scan chain.
2.1 Pros and Cons of LOC and LOS
1) In LOC, V2 is generated by applying functional clock from
V1 whereas in LOS, V2 is shifted vector of V1. And to launch
transition on shift path is very easy than to launch transition on
functional path.
2) LOC techniques uses sequential engine during automatic
test pattern generation (ATPG) whereas LOS uses
combination engine for ATPG. So LOS requires to do some
extra setup to perform ATPG.
3) In LOS, the fault activation path or scan path is fully
controllable from the input of scan chain while in LOC,
controllability of launching transition at fault site is less ( its
depends on the functional response of logic blocks to initialize
vector) results LOS give better controllability cause better
fault coverage and less patterns than LOC.
4) In LOC, after all slow clocks for loading there is dead clock
zone so, scan enable signal can easily make transition from
high to low. But in case of LOS, fast scan enable signal must
design to make transition between two high speed clocks
means scan enable signal must operate at full speed. This will
increase cost of testing. As solution of this problem in LOS,
pipelined architecture is used for scan enable signal. This scan
enable signal is called pipelined scan enable signal. [2]
5) In LOS, last shift happen with fast clock and entire design
will become active result average power in launch cycle is
very high.
6) In LOS, lash shift would happen at high speed clock will
force to place additional timing requirements on an On chip
Clock (OCC) controller in multi-clock domain design.
IJRET: International Journal of Research in Engineering and Technology eISSN: 2319-1163 | pISSN: 2321-7308
__________________________________________________________________________________________
Volume: 03 Issue: 03 | Mar-2014, Available @ http://www.ijret.org 275
3. LAUNCH ON EXTRA SHIFT (LOES)
LOES – Launch on Extra shift is delay fault test technique
used to solve problems of LOS methodology already
mentioned. In LOES methodology, transition is launched by
extra fast shift clock at inputs of combinational block as
shown in figure-3. In case of scan chain having N bit scan-
length, N slow shift clock cycle require to load entire scan
chain and (N+1)th fast shift clock is used to launch the
transition.
Fig-3: LOES Waveform [2]
Then fast functional clock happens to capture response after
scan enable signal goes low. So, in LOES, extra shift and
capture clocks are at-speed clocks. Essentially, loading-
unloading processes of LOES are similar to LOC whereas
launching process is same as LOS because transition is
launched through shift path. [2]
3.1 Comparisons with LOC and LOS
1) Since in LOES, transition is launched by an extra shift this
methodology is as efficient as LOS in terms of coverage and
test patterns.
2) LOES also uses sequential engine to perform ATPG like
LOC and all ATPG setup are same as LOC.
3) LOES also has a same problem scan enable signal as shown
in LOS that scan enable signal must be design to operate at
high speed. And also pipelined scan enable signal requires as
solution that need pipelined architecture.
4) In LOES, all bits of patterns are shifted into scan chain
through slow clocks so, unlike LOS, LOES doesn’t need
additional timing hardware on an OCC controller.
3.2 Pipelined Structure for LOS and LOES
Methodologies
As we have discussed earlier, transition of scan enable signal
between two function frequency clocks would increase the
cost of testing and also it is very difficult with low speed
ATEs. So, pipelined scan enable signal is a solution for that.
Figure-4 illustrates the structure of pipelined scan enable
signal normally used for LOS and LOES techniques and
waveforms for same.
(a)
(b)
Fig-4: (a) Scan Enable Pipelined Circuitry (b) waveforms of
pipelined scan enable signal for LOS and LOES [2]
Above figure-4(a) shows implementation of pipelined scan
enable signal in ASIC design. SE is controlled by external
tester and PSE is pipelined scan enable signal is internally
generated and is forwarded to all scan enable pins of
scannable flops available in scan chain. Here PEN is pipelined
enable signal. It is generally high to active pipelined structure
during LOS and LOES automatic test pattern generation. It
remains low during LOC because it gives same logic values
on SE and PSE pins. So, low logic value on PSE would
deactivate pipelined structure.
Figure-4(b) shows even-though for LOS and LOES external
tester make transition in scan enable (SE) signal before launch
shift, pipelined scan enable (PSE) signal switches between two
fast clocks. This would solve the problem of requirement of
costly external signal to operate top level scan enable signal at
functional speed.
IJRET: International Journal of Research in Engineering and Technology eISSN: 2319-1163 | pISSN: 2321-7308
__________________________________________________________________________________________
Volume: 03 Issue: 03 | Mar-2014, Available @ http://www.ijret.org 276
4. RESULTS AND SIMULATIONS
Here below figure-5 shows results of one VLSI design in
terms of fault coverage and number of test patterns for LOC,
LOS and LOES methodology which are generated by
automatic test pattern generation tool.
(a)
(b)
(c)
Fig-5: Fault coverage and Number of test patterns for (a)
LOC, (b) LOS and (c) LOES
As shown in above figure, it becomes very easy to compare
these three techniques.
Here as per our discussion LOS and LOES are efficient
methodologies in terms of test coverage and test patterns but
major disadvantage of both is its implementation is difficult
because they require fast scan enable signal.
(a)
(b)
(c)
Fig-6: Simulated waveforms of a test pattern for (a) LOC, (b)
LOS and (c) LOES
Also figure-6 shows simulated waveforms for all these
techniques that verifies correctness of these methodology.
These are similar to our theoretical waveform discussed
above. In this figure-6, launch and capture clock cycles of one
pattern are shown.
5. CONCLUSIONS
In this paper, we studied an effective and practical transition
and path delay testing methodologies. This paper also convey
the basic understanding of LOC, LOS and LOES transition
delay testing techniques along with their comparison. The
main goal of this paper is to give brief about benefits and
difficulties, of these three methodologies, we need to consider
during delay testing and automatic test pattern generation.
Also results compare these methods in terms of coverage and
number of test patterns.
IJRET: International Journal of Research in Engineering and Technology eISSN: 2319-1163 | pISSN: 2321-7308
__________________________________________________________________________________________
Volume: 03 Issue: 03 | Mar-2014, Available @ http://www.ijret.org 277
REFERENCES
[1]. Laung-Terngwang, Cheng-Wen Wu, Xiaoqing Wen,
"Design For Testablity, VLSI test priciples and
Architectures",2003
[2]. Milan Shetty,Swathi G, Rubin A Parekhji, " Launch-off
extra shift(LOES) transition fault ATPG methodology",
SNUG 2011
[3]. Synopsys "TetraMAX User guide", Version:D-2010.03-
SP5, October 2010
[4]. Synopsys "VCS User guide", Version:Y-20o6.06-SP2,
March 2008
[5]. Synopsys "Test Pattern Validation User guide",
Version:D-2010.03-SP5, October 2010
[6]. Bruce Swanson, Michelle Lange, "AT-Speed testing made
easy", EE-times, April-May 2003, pp.39-46
[7]. Lin Wei, Shi Wenlong, "A new circuit for at-speed scan
SoC testing", Chinese Institute of Electronics, Journal of
Semiconductors, Vol.34, No.12 December 2013

More Related Content

What's hot

1.Week1.pptx
1.Week1.pptx1.Week1.pptx
1.Week1.pptx
sathisha36
 
Dft (design for testability)
Dft (design for testability)Dft (design for testability)
Dft (design for testability)
shaik sharief
 
DRCs.pptx
DRCs.pptxDRCs.pptx
DRCs.pptx
ssuserd05d591
 
2019 5 testing and verification of vlsi design_fault_modeling
2019 5 testing and verification of vlsi design_fault_modeling2019 5 testing and verification of vlsi design_fault_modeling
2019 5 testing and verification of vlsi design_fault_modeling
Usha Mehta
 
Design for Testability
Design for Testability Design for Testability
Design for Testability
kumar gavanurmath
 
Spyglass dft
Spyglass dftSpyglass dft
Spyglass dft
kumar gavanurmath
 
ATPG Methods and Algorithms
ATPG Methods and AlgorithmsATPG Methods and Algorithms
ATPG Methods and Algorithms
Deiptii Das
 
Automatic Test Pattern Generation (Testing of VLSI Design)
Automatic Test Pattern Generation (Testing of VLSI Design)Automatic Test Pattern Generation (Testing of VLSI Design)
Automatic Test Pattern Generation (Testing of VLSI Design)
Usha Mehta
 
2019 3 testing and verification of vlsi design_sta
2019 3 testing and verification of vlsi design_sta2019 3 testing and verification of vlsi design_sta
2019 3 testing and verification of vlsi design_sta
Usha Mehta
 
2019 1 testing and verification of vlsi design_introduction
2019 1 testing and verification of vlsi design_introduction2019 1 testing and verification of vlsi design_introduction
2019 1 testing and verification of vlsi design_introduction
Usha Mehta
 
Design for Test [DFT]-1 (1).pdf DESIGN DFT
Design for Test [DFT]-1 (1).pdf DESIGN DFTDesign for Test [DFT]-1 (1).pdf DESIGN DFT
Design for Test [DFT]-1 (1).pdf DESIGN DFT
jayasreenimmakuri777
 
2019 2 testing and verification of vlsi design_verification
2019 2 testing and verification of vlsi design_verification2019 2 testing and verification of vlsi design_verification
2019 2 testing and verification of vlsi design_verification
Usha Mehta
 
UVM Methodology Tutorial
UVM Methodology TutorialUVM Methodology Tutorial
UVM Methodology Tutorial
Arrow Devices
 
UVM TUTORIAL;
UVM TUTORIAL;UVM TUTORIAL;
UVM TUTORIAL;
Azad Mishra
 
Level sensitive scan design(LSSD) and Boundry scan(BS)
Level sensitive scan design(LSSD) and Boundry scan(BS)Level sensitive scan design(LSSD) and Boundry scan(BS)
Level sensitive scan design(LSSD) and Boundry scan(BS)
Praveen Kumar
 
5. DFT.pptx
5. DFT.pptx5. DFT.pptx
5. DFT.pptx
Ahmed Abdelazeem
 
VLSI testing and analysis
VLSI testing and analysisVLSI testing and analysis
VLSI testing and analysis
Surekha PuriGosavi
 
Session 9 advance_verification_features
Session 9 advance_verification_featuresSession 9 advance_verification_features
Session 9 advance_verification_features
Nirav Desai
 
Scan chain operation
Scan chain operationScan chain operation
Scan chain operation
kumar gavanurmath
 
04~chapter 02 dft.ppt
04~chapter 02 dft.ppt04~chapter 02 dft.ppt
04~chapter 02 dft.ppt
SandipSolanki10
 

What's hot (20)

1.Week1.pptx
1.Week1.pptx1.Week1.pptx
1.Week1.pptx
 
Dft (design for testability)
Dft (design for testability)Dft (design for testability)
Dft (design for testability)
 
DRCs.pptx
DRCs.pptxDRCs.pptx
DRCs.pptx
 
2019 5 testing and verification of vlsi design_fault_modeling
2019 5 testing and verification of vlsi design_fault_modeling2019 5 testing and verification of vlsi design_fault_modeling
2019 5 testing and verification of vlsi design_fault_modeling
 
Design for Testability
Design for Testability Design for Testability
Design for Testability
 
Spyglass dft
Spyglass dftSpyglass dft
Spyglass dft
 
ATPG Methods and Algorithms
ATPG Methods and AlgorithmsATPG Methods and Algorithms
ATPG Methods and Algorithms
 
Automatic Test Pattern Generation (Testing of VLSI Design)
Automatic Test Pattern Generation (Testing of VLSI Design)Automatic Test Pattern Generation (Testing of VLSI Design)
Automatic Test Pattern Generation (Testing of VLSI Design)
 
2019 3 testing and verification of vlsi design_sta
2019 3 testing and verification of vlsi design_sta2019 3 testing and verification of vlsi design_sta
2019 3 testing and verification of vlsi design_sta
 
2019 1 testing and verification of vlsi design_introduction
2019 1 testing and verification of vlsi design_introduction2019 1 testing and verification of vlsi design_introduction
2019 1 testing and verification of vlsi design_introduction
 
Design for Test [DFT]-1 (1).pdf DESIGN DFT
Design for Test [DFT]-1 (1).pdf DESIGN DFTDesign for Test [DFT]-1 (1).pdf DESIGN DFT
Design for Test [DFT]-1 (1).pdf DESIGN DFT
 
2019 2 testing and verification of vlsi design_verification
2019 2 testing and verification of vlsi design_verification2019 2 testing and verification of vlsi design_verification
2019 2 testing and verification of vlsi design_verification
 
UVM Methodology Tutorial
UVM Methodology TutorialUVM Methodology Tutorial
UVM Methodology Tutorial
 
UVM TUTORIAL;
UVM TUTORIAL;UVM TUTORIAL;
UVM TUTORIAL;
 
Level sensitive scan design(LSSD) and Boundry scan(BS)
Level sensitive scan design(LSSD) and Boundry scan(BS)Level sensitive scan design(LSSD) and Boundry scan(BS)
Level sensitive scan design(LSSD) and Boundry scan(BS)
 
5. DFT.pptx
5. DFT.pptx5. DFT.pptx
5. DFT.pptx
 
VLSI testing and analysis
VLSI testing and analysisVLSI testing and analysis
VLSI testing and analysis
 
Session 9 advance_verification_features
Session 9 advance_verification_featuresSession 9 advance_verification_features
Session 9 advance_verification_features
 
Scan chain operation
Scan chain operationScan chain operation
Scan chain operation
 
04~chapter 02 dft.ppt
04~chapter 02 dft.ppt04~chapter 02 dft.ppt
04~chapter 02 dft.ppt
 

Viewers also liked

Automatic Test Generation for Space
Automatic Test Generation for SpaceAutomatic Test Generation for Space
Automatic Test Generation for Space
Ulisses Costa
 
A Survey on Automatic Test Generation and Crash Reproduction
A Survey on Automatic Test Generation and Crash ReproductionA Survey on Automatic Test Generation and Crash Reproduction
A Survey on Automatic Test Generation and Crash Reproduction
Sung Kim
 
Poliedros
PoliedrosPoliedros
Poliedros
a1dbsanchez
 
Virreal Architecture For Pecha Kucha (Subtitled)
Virreal Architecture For Pecha Kucha (Subtitled)Virreal Architecture For Pecha Kucha (Subtitled)
Virreal Architecture For Pecha Kucha (Subtitled)
Almar van der Krogt
 
Employee Transport Tracking ‘n’ Communication System - Powered by CodeLand
Employee Transport Tracking  ‘n’ Communication System - Powered by CodeLand Employee Transport Tracking  ‘n’ Communication System - Powered by CodeLand
Employee Transport Tracking ‘n’ Communication System - Powered by CodeLand
Sachidanand Bhat
 
Application of Boolean pre-algebras to the foundations of Computer Science
Application of Boolean pre-algebras to the foundations of Computer ScienceApplication of Boolean pre-algebras to the foundations of Computer Science
Application of Boolean pre-algebras to the foundations of Computer Science
Marcelo Novaes
 
Review of Multimodal Biometrics: Applications, Challenges and Research Areas
Review of Multimodal Biometrics: Applications, Challenges and Research AreasReview of Multimodal Biometrics: Applications, Challenges and Research Areas
Review of Multimodal Biometrics: Applications, Challenges and Research Areas
CSCJournals
 
Chapter1introductionwithoutgraph 130103102534-phpapp01
Chapter1introductionwithoutgraph 130103102534-phpapp01Chapter1introductionwithoutgraph 130103102534-phpapp01
Chapter1introductionwithoutgraph 130103102534-phpapp01
Ajay Jha
 
Testing boolean difference
Testing boolean differenceTesting boolean difference
Testing boolean difference
Anish Gupta
 
Joint Optimization of The two Tier Femto cells and Macro cell Users OFDMA Net...
Joint Optimization of The two Tier Femto cells and Macro cell Users OFDMA Net...Joint Optimization of The two Tier Femto cells and Macro cell Users OFDMA Net...
Joint Optimization of The two Tier Femto cells and Macro cell Users OFDMA Net...
IJTET Journal
 
Solid Waste Management for Efficient Operational Process - Powered by CodeLand
Solid Waste Management for Efficient Operational Process - Powered by CodeLandSolid Waste Management for Efficient Operational Process - Powered by CodeLand
Solid Waste Management for Efficient Operational Process - Powered by CodeLand
Sachidanand Bhat
 
Design of Rear wing for high performance cars and Simulation using Computatio...
Design of Rear wing for high performance cars and Simulation using Computatio...Design of Rear wing for high performance cars and Simulation using Computatio...
Design of Rear wing for high performance cars and Simulation using Computatio...
IJTET Journal
 
A Survey on Dynamic Symbolic Execution for Automatic Test Generation
A Survey on  Dynamic Symbolic Execution  for Automatic Test GenerationA Survey on  Dynamic Symbolic Execution  for Automatic Test Generation
A Survey on Dynamic Symbolic Execution for Automatic Test Generation
Sung Kim
 
Content for film producers
Content for film producersContent for film producers
Content for film producers
iain bruce
 
COMPUTER ORGANIZATION - Logic gates, Boolean Algebra, Combinational Circuits
COMPUTER ORGANIZATION - Logic gates, Boolean Algebra, Combinational CircuitsCOMPUTER ORGANIZATION - Logic gates, Boolean Algebra, Combinational Circuits
COMPUTER ORGANIZATION - Logic gates, Boolean Algebra, Combinational Circuits
Vanitha Chandru
 

Viewers also liked (16)

Automatic Test Generation for Space
Automatic Test Generation for SpaceAutomatic Test Generation for Space
Automatic Test Generation for Space
 
A Survey on Automatic Test Generation and Crash Reproduction
A Survey on Automatic Test Generation and Crash ReproductionA Survey on Automatic Test Generation and Crash Reproduction
A Survey on Automatic Test Generation and Crash Reproduction
 
abc
abcabc
abc
 
Poliedros
PoliedrosPoliedros
Poliedros
 
Virreal Architecture For Pecha Kucha (Subtitled)
Virreal Architecture For Pecha Kucha (Subtitled)Virreal Architecture For Pecha Kucha (Subtitled)
Virreal Architecture For Pecha Kucha (Subtitled)
 
Employee Transport Tracking ‘n’ Communication System - Powered by CodeLand
Employee Transport Tracking  ‘n’ Communication System - Powered by CodeLand Employee Transport Tracking  ‘n’ Communication System - Powered by CodeLand
Employee Transport Tracking ‘n’ Communication System - Powered by CodeLand
 
Application of Boolean pre-algebras to the foundations of Computer Science
Application of Boolean pre-algebras to the foundations of Computer ScienceApplication of Boolean pre-algebras to the foundations of Computer Science
Application of Boolean pre-algebras to the foundations of Computer Science
 
Review of Multimodal Biometrics: Applications, Challenges and Research Areas
Review of Multimodal Biometrics: Applications, Challenges and Research AreasReview of Multimodal Biometrics: Applications, Challenges and Research Areas
Review of Multimodal Biometrics: Applications, Challenges and Research Areas
 
Chapter1introductionwithoutgraph 130103102534-phpapp01
Chapter1introductionwithoutgraph 130103102534-phpapp01Chapter1introductionwithoutgraph 130103102534-phpapp01
Chapter1introductionwithoutgraph 130103102534-phpapp01
 
Testing boolean difference
Testing boolean differenceTesting boolean difference
Testing boolean difference
 
Joint Optimization of The two Tier Femto cells and Macro cell Users OFDMA Net...
Joint Optimization of The two Tier Femto cells and Macro cell Users OFDMA Net...Joint Optimization of The two Tier Femto cells and Macro cell Users OFDMA Net...
Joint Optimization of The two Tier Femto cells and Macro cell Users OFDMA Net...
 
Solid Waste Management for Efficient Operational Process - Powered by CodeLand
Solid Waste Management for Efficient Operational Process - Powered by CodeLandSolid Waste Management for Efficient Operational Process - Powered by CodeLand
Solid Waste Management for Efficient Operational Process - Powered by CodeLand
 
Design of Rear wing for high performance cars and Simulation using Computatio...
Design of Rear wing for high performance cars and Simulation using Computatio...Design of Rear wing for high performance cars and Simulation using Computatio...
Design of Rear wing for high performance cars and Simulation using Computatio...
 
A Survey on Dynamic Symbolic Execution for Automatic Test Generation
A Survey on  Dynamic Symbolic Execution  for Automatic Test GenerationA Survey on  Dynamic Symbolic Execution  for Automatic Test Generation
A Survey on Dynamic Symbolic Execution for Automatic Test Generation
 
Content for film producers
Content for film producersContent for film producers
Content for film producers
 
COMPUTER ORGANIZATION - Logic gates, Boolean Algebra, Combinational Circuits
COMPUTER ORGANIZATION - Logic gates, Boolean Algebra, Combinational CircuitsCOMPUTER ORGANIZATION - Logic gates, Boolean Algebra, Combinational Circuits
COMPUTER ORGANIZATION - Logic gates, Boolean Algebra, Combinational Circuits
 

Similar to Loc, los and loes at speed testing methodologies for automatic test pattern generation using transition delay fault model

A survey of scan-capture power reduction techniques
A survey of scan-capture power reduction techniquesA survey of scan-capture power reduction techniques
A survey of scan-capture power reduction techniques
IJECEIAES
 
Design and simulation of radio frequency
Design and simulation of radio frequencyDesign and simulation of radio frequency
Design and simulation of radio frequency
eSAT Journals
 
Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...
Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...
Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...
IRJET Journal
 
DESIGN AND IMPLEMENTATION OF AREA AND POWER OPTIMISED NOVEL SCANFLOP
DESIGN AND IMPLEMENTATION OF AREA AND POWER OPTIMISED NOVEL SCANFLOPDESIGN AND IMPLEMENTATION OF AREA AND POWER OPTIMISED NOVEL SCANFLOP
DESIGN AND IMPLEMENTATION OF AREA AND POWER OPTIMISED NOVEL SCANFLOP
VLSICS Design
 
IJCER (www.ijceronline.com) International Journal of computational Engineeri...
 IJCER (www.ijceronline.com) International Journal of computational Engineeri... IJCER (www.ijceronline.com) International Journal of computational Engineeri...
IJCER (www.ijceronline.com) International Journal of computational Engineeri...
ijceronline
 
Scan-Based Delay Measurement Technique Using Signature Registers
Scan-Based Delay Measurement Technique Using Signature RegistersScan-Based Delay Measurement Technique Using Signature Registers
Scan-Based Delay Measurement Technique Using Signature Registers
IJMER
 
Wavelet Based on the Finding of Hard and Soft Faults in Analog and Digital Si...
Wavelet Based on the Finding of Hard and Soft Faults in Analog and Digital Si...Wavelet Based on the Finding of Hard and Soft Faults in Analog and Digital Si...
Wavelet Based on the Finding of Hard and Soft Faults in Analog and Digital Si...
ijcisjournal
 
An application specific reconfigurable architecture
An application specific reconfigurable architectureAn application specific reconfigurable architecture
An application specific reconfigurable architecture
eSAT Publishing House
 
An application specific reconfigurable architecture for fault testing and dia...
An application specific reconfigurable architecture for fault testing and dia...An application specific reconfigurable architecture for fault testing and dia...
An application specific reconfigurable architecture for fault testing and dia...
eSAT Journals
 
Optimal and Power Aware BIST for Delay Testing of System-On-Chip
Optimal and Power Aware BIST for Delay Testing of System-On-ChipOptimal and Power Aware BIST for Delay Testing of System-On-Chip
Optimal and Power Aware BIST for Delay Testing of System-On-Chip
IDES Editor
 
Enhanced Skewed Load and Broadside Power Reduction in Transition Fault Testing
Enhanced Skewed Load and Broadside Power Reduction in Transition Fault TestingEnhanced Skewed Load and Broadside Power Reduction in Transition Fault Testing
Enhanced Skewed Load and Broadside Power Reduction in Transition Fault Testing
IJERA Editor
 
Mixed approach for scheduling process in wimax for high qos
Mixed approach for scheduling process in wimax for high qosMixed approach for scheduling process in wimax for high qos
Mixed approach for scheduling process in wimax for high qos
eSAT Journals
 
Design and development of grid tie inverter with closed loop spwm single stag...
Design and development of grid tie inverter with closed loop spwm single stag...Design and development of grid tie inverter with closed loop spwm single stag...
Design and development of grid tie inverter with closed loop spwm single stag...
eSAT Journals
 
Importance of sliding window protocol
Importance of sliding window protocolImportance of sliding window protocol
Importance of sliding window protocol
eSAT Journals
 
Importance of sliding window protocol
Importance of sliding window protocolImportance of sliding window protocol
Importance of sliding window protocol
eSAT Publishing House
 
SPECTRUM SHARING FOR 6G COMMUNICATION
SPECTRUM SHARING FOR 6G COMMUNICATIONSPECTRUM SHARING FOR 6G COMMUNICATION
SPECTRUM SHARING FOR 6G COMMUNICATION
IRJET Journal
 
A018120105
A018120105A018120105
A018120105
IOSR Journals
 
Fast svm based 3 phase cascaded five level inverter
Fast svm based 3 phase cascaded five level inverterFast svm based 3 phase cascaded five level inverter
Fast svm based 3 phase cascaded five level inverter
eSAT Journals
 
Area, Delay and Power Comparison of Adder Topologies
Area, Delay and Power Comparison of Adder TopologiesArea, Delay and Power Comparison of Adder Topologies
Area, Delay and Power Comparison of Adder Topologies
VLSICS Design
 
Comparative analysis of lector and stack technique to reduce the leakage curr...
Comparative analysis of lector and stack technique to reduce the leakage curr...Comparative analysis of lector and stack technique to reduce the leakage curr...
Comparative analysis of lector and stack technique to reduce the leakage curr...
eSAT Journals
 

Similar to Loc, los and loes at speed testing methodologies for automatic test pattern generation using transition delay fault model (20)

A survey of scan-capture power reduction techniques
A survey of scan-capture power reduction techniquesA survey of scan-capture power reduction techniques
A survey of scan-capture power reduction techniques
 
Design and simulation of radio frequency
Design and simulation of radio frequencyDesign and simulation of radio frequency
Design and simulation of radio frequency
 
Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...
Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...
Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...
 
DESIGN AND IMPLEMENTATION OF AREA AND POWER OPTIMISED NOVEL SCANFLOP
DESIGN AND IMPLEMENTATION OF AREA AND POWER OPTIMISED NOVEL SCANFLOPDESIGN AND IMPLEMENTATION OF AREA AND POWER OPTIMISED NOVEL SCANFLOP
DESIGN AND IMPLEMENTATION OF AREA AND POWER OPTIMISED NOVEL SCANFLOP
 
IJCER (www.ijceronline.com) International Journal of computational Engineeri...
 IJCER (www.ijceronline.com) International Journal of computational Engineeri... IJCER (www.ijceronline.com) International Journal of computational Engineeri...
IJCER (www.ijceronline.com) International Journal of computational Engineeri...
 
Scan-Based Delay Measurement Technique Using Signature Registers
Scan-Based Delay Measurement Technique Using Signature RegistersScan-Based Delay Measurement Technique Using Signature Registers
Scan-Based Delay Measurement Technique Using Signature Registers
 
Wavelet Based on the Finding of Hard and Soft Faults in Analog and Digital Si...
Wavelet Based on the Finding of Hard and Soft Faults in Analog and Digital Si...Wavelet Based on the Finding of Hard and Soft Faults in Analog and Digital Si...
Wavelet Based on the Finding of Hard and Soft Faults in Analog and Digital Si...
 
An application specific reconfigurable architecture
An application specific reconfigurable architectureAn application specific reconfigurable architecture
An application specific reconfigurable architecture
 
An application specific reconfigurable architecture for fault testing and dia...
An application specific reconfigurable architecture for fault testing and dia...An application specific reconfigurable architecture for fault testing and dia...
An application specific reconfigurable architecture for fault testing and dia...
 
Optimal and Power Aware BIST for Delay Testing of System-On-Chip
Optimal and Power Aware BIST for Delay Testing of System-On-ChipOptimal and Power Aware BIST for Delay Testing of System-On-Chip
Optimal and Power Aware BIST for Delay Testing of System-On-Chip
 
Enhanced Skewed Load and Broadside Power Reduction in Transition Fault Testing
Enhanced Skewed Load and Broadside Power Reduction in Transition Fault TestingEnhanced Skewed Load and Broadside Power Reduction in Transition Fault Testing
Enhanced Skewed Load and Broadside Power Reduction in Transition Fault Testing
 
Mixed approach for scheduling process in wimax for high qos
Mixed approach for scheduling process in wimax for high qosMixed approach for scheduling process in wimax for high qos
Mixed approach for scheduling process in wimax for high qos
 
Design and development of grid tie inverter with closed loop spwm single stag...
Design and development of grid tie inverter with closed loop spwm single stag...Design and development of grid tie inverter with closed loop spwm single stag...
Design and development of grid tie inverter with closed loop spwm single stag...
 
Importance of sliding window protocol
Importance of sliding window protocolImportance of sliding window protocol
Importance of sliding window protocol
 
Importance of sliding window protocol
Importance of sliding window protocolImportance of sliding window protocol
Importance of sliding window protocol
 
SPECTRUM SHARING FOR 6G COMMUNICATION
SPECTRUM SHARING FOR 6G COMMUNICATIONSPECTRUM SHARING FOR 6G COMMUNICATION
SPECTRUM SHARING FOR 6G COMMUNICATION
 
A018120105
A018120105A018120105
A018120105
 
Fast svm based 3 phase cascaded five level inverter
Fast svm based 3 phase cascaded five level inverterFast svm based 3 phase cascaded five level inverter
Fast svm based 3 phase cascaded five level inverter
 
Area, Delay and Power Comparison of Adder Topologies
Area, Delay and Power Comparison of Adder TopologiesArea, Delay and Power Comparison of Adder Topologies
Area, Delay and Power Comparison of Adder Topologies
 
Comparative analysis of lector and stack technique to reduce the leakage curr...
Comparative analysis of lector and stack technique to reduce the leakage curr...Comparative analysis of lector and stack technique to reduce the leakage curr...
Comparative analysis of lector and stack technique to reduce the leakage curr...
 

More from eSAT Journals

Mechanical properties of hybrid fiber reinforced concrete for pavements
Mechanical properties of hybrid fiber reinforced concrete for pavementsMechanical properties of hybrid fiber reinforced concrete for pavements
Mechanical properties of hybrid fiber reinforced concrete for pavements
eSAT Journals
 
Material management in construction – a case study
Material management in construction – a case studyMaterial management in construction – a case study
Material management in construction – a case study
eSAT Journals
 
Managing drought short term strategies in semi arid regions a case study
Managing drought    short term strategies in semi arid regions  a case studyManaging drought    short term strategies in semi arid regions  a case study
Managing drought short term strategies in semi arid regions a case study
eSAT Journals
 
Life cycle cost analysis of overlay for an urban road in bangalore
Life cycle cost analysis of overlay for an urban road in bangaloreLife cycle cost analysis of overlay for an urban road in bangalore
Life cycle cost analysis of overlay for an urban road in bangalore
eSAT Journals
 
Laboratory studies of dense bituminous mixes ii with reclaimed asphalt materials
Laboratory studies of dense bituminous mixes ii with reclaimed asphalt materialsLaboratory studies of dense bituminous mixes ii with reclaimed asphalt materials
Laboratory studies of dense bituminous mixes ii with reclaimed asphalt materials
eSAT Journals
 
Laboratory investigation of expansive soil stabilized with natural inorganic ...
Laboratory investigation of expansive soil stabilized with natural inorganic ...Laboratory investigation of expansive soil stabilized with natural inorganic ...
Laboratory investigation of expansive soil stabilized with natural inorganic ...
eSAT Journals
 
Influence of reinforcement on the behavior of hollow concrete block masonry p...
Influence of reinforcement on the behavior of hollow concrete block masonry p...Influence of reinforcement on the behavior of hollow concrete block masonry p...
Influence of reinforcement on the behavior of hollow concrete block masonry p...
eSAT Journals
 
Influence of compaction energy on soil stabilized with chemical stabilizer
Influence of compaction energy on soil stabilized with chemical stabilizerInfluence of compaction energy on soil stabilized with chemical stabilizer
Influence of compaction energy on soil stabilized with chemical stabilizer
eSAT Journals
 
Geographical information system (gis) for water resources management
Geographical information system (gis) for water resources managementGeographical information system (gis) for water resources management
Geographical information system (gis) for water resources management
eSAT Journals
 
Forest type mapping of bidar forest division, karnataka using geoinformatics ...
Forest type mapping of bidar forest division, karnataka using geoinformatics ...Forest type mapping of bidar forest division, karnataka using geoinformatics ...
Forest type mapping of bidar forest division, karnataka using geoinformatics ...
eSAT Journals
 
Factors influencing compressive strength of geopolymer concrete
Factors influencing compressive strength of geopolymer concreteFactors influencing compressive strength of geopolymer concrete
Factors influencing compressive strength of geopolymer concrete
eSAT Journals
 
Experimental investigation on circular hollow steel columns in filled with li...
Experimental investigation on circular hollow steel columns in filled with li...Experimental investigation on circular hollow steel columns in filled with li...
Experimental investigation on circular hollow steel columns in filled with li...
eSAT Journals
 
Experimental behavior of circular hsscfrc filled steel tubular columns under ...
Experimental behavior of circular hsscfrc filled steel tubular columns under ...Experimental behavior of circular hsscfrc filled steel tubular columns under ...
Experimental behavior of circular hsscfrc filled steel tubular columns under ...
eSAT Journals
 
Evaluation of punching shear in flat slabs
Evaluation of punching shear in flat slabsEvaluation of punching shear in flat slabs
Evaluation of punching shear in flat slabs
eSAT Journals
 
Evaluation of performance of intake tower dam for recent earthquake in india
Evaluation of performance of intake tower dam for recent earthquake in indiaEvaluation of performance of intake tower dam for recent earthquake in india
Evaluation of performance of intake tower dam for recent earthquake in india
eSAT Journals
 
Evaluation of operational efficiency of urban road network using travel time ...
Evaluation of operational efficiency of urban road network using travel time ...Evaluation of operational efficiency of urban road network using travel time ...
Evaluation of operational efficiency of urban road network using travel time ...
eSAT Journals
 
Estimation of surface runoff in nallur amanikere watershed using scs cn method
Estimation of surface runoff in nallur amanikere watershed using scs cn methodEstimation of surface runoff in nallur amanikere watershed using scs cn method
Estimation of surface runoff in nallur amanikere watershed using scs cn method
eSAT Journals
 
Estimation of morphometric parameters and runoff using rs & gis techniques
Estimation of morphometric parameters and runoff using rs & gis techniquesEstimation of morphometric parameters and runoff using rs & gis techniques
Estimation of morphometric parameters and runoff using rs & gis techniques
eSAT Journals
 
Effect of variation of plastic hinge length on the results of non linear anal...
Effect of variation of plastic hinge length on the results of non linear anal...Effect of variation of plastic hinge length on the results of non linear anal...
Effect of variation of plastic hinge length on the results of non linear anal...
eSAT Journals
 
Effect of use of recycled materials on indirect tensile strength of asphalt c...
Effect of use of recycled materials on indirect tensile strength of asphalt c...Effect of use of recycled materials on indirect tensile strength of asphalt c...
Effect of use of recycled materials on indirect tensile strength of asphalt c...
eSAT Journals
 

More from eSAT Journals (20)

Mechanical properties of hybrid fiber reinforced concrete for pavements
Mechanical properties of hybrid fiber reinforced concrete for pavementsMechanical properties of hybrid fiber reinforced concrete for pavements
Mechanical properties of hybrid fiber reinforced concrete for pavements
 
Material management in construction – a case study
Material management in construction – a case studyMaterial management in construction – a case study
Material management in construction – a case study
 
Managing drought short term strategies in semi arid regions a case study
Managing drought    short term strategies in semi arid regions  a case studyManaging drought    short term strategies in semi arid regions  a case study
Managing drought short term strategies in semi arid regions a case study
 
Life cycle cost analysis of overlay for an urban road in bangalore
Life cycle cost analysis of overlay for an urban road in bangaloreLife cycle cost analysis of overlay for an urban road in bangalore
Life cycle cost analysis of overlay for an urban road in bangalore
 
Laboratory studies of dense bituminous mixes ii with reclaimed asphalt materials
Laboratory studies of dense bituminous mixes ii with reclaimed asphalt materialsLaboratory studies of dense bituminous mixes ii with reclaimed asphalt materials
Laboratory studies of dense bituminous mixes ii with reclaimed asphalt materials
 
Laboratory investigation of expansive soil stabilized with natural inorganic ...
Laboratory investigation of expansive soil stabilized with natural inorganic ...Laboratory investigation of expansive soil stabilized with natural inorganic ...
Laboratory investigation of expansive soil stabilized with natural inorganic ...
 
Influence of reinforcement on the behavior of hollow concrete block masonry p...
Influence of reinforcement on the behavior of hollow concrete block masonry p...Influence of reinforcement on the behavior of hollow concrete block masonry p...
Influence of reinforcement on the behavior of hollow concrete block masonry p...
 
Influence of compaction energy on soil stabilized with chemical stabilizer
Influence of compaction energy on soil stabilized with chemical stabilizerInfluence of compaction energy on soil stabilized with chemical stabilizer
Influence of compaction energy on soil stabilized with chemical stabilizer
 
Geographical information system (gis) for water resources management
Geographical information system (gis) for water resources managementGeographical information system (gis) for water resources management
Geographical information system (gis) for water resources management
 
Forest type mapping of bidar forest division, karnataka using geoinformatics ...
Forest type mapping of bidar forest division, karnataka using geoinformatics ...Forest type mapping of bidar forest division, karnataka using geoinformatics ...
Forest type mapping of bidar forest division, karnataka using geoinformatics ...
 
Factors influencing compressive strength of geopolymer concrete
Factors influencing compressive strength of geopolymer concreteFactors influencing compressive strength of geopolymer concrete
Factors influencing compressive strength of geopolymer concrete
 
Experimental investigation on circular hollow steel columns in filled with li...
Experimental investigation on circular hollow steel columns in filled with li...Experimental investigation on circular hollow steel columns in filled with li...
Experimental investigation on circular hollow steel columns in filled with li...
 
Experimental behavior of circular hsscfrc filled steel tubular columns under ...
Experimental behavior of circular hsscfrc filled steel tubular columns under ...Experimental behavior of circular hsscfrc filled steel tubular columns under ...
Experimental behavior of circular hsscfrc filled steel tubular columns under ...
 
Evaluation of punching shear in flat slabs
Evaluation of punching shear in flat slabsEvaluation of punching shear in flat slabs
Evaluation of punching shear in flat slabs
 
Evaluation of performance of intake tower dam for recent earthquake in india
Evaluation of performance of intake tower dam for recent earthquake in indiaEvaluation of performance of intake tower dam for recent earthquake in india
Evaluation of performance of intake tower dam for recent earthquake in india
 
Evaluation of operational efficiency of urban road network using travel time ...
Evaluation of operational efficiency of urban road network using travel time ...Evaluation of operational efficiency of urban road network using travel time ...
Evaluation of operational efficiency of urban road network using travel time ...
 
Estimation of surface runoff in nallur amanikere watershed using scs cn method
Estimation of surface runoff in nallur amanikere watershed using scs cn methodEstimation of surface runoff in nallur amanikere watershed using scs cn method
Estimation of surface runoff in nallur amanikere watershed using scs cn method
 
Estimation of morphometric parameters and runoff using rs & gis techniques
Estimation of morphometric parameters and runoff using rs & gis techniquesEstimation of morphometric parameters and runoff using rs & gis techniques
Estimation of morphometric parameters and runoff using rs & gis techniques
 
Effect of variation of plastic hinge length on the results of non linear anal...
Effect of variation of plastic hinge length on the results of non linear anal...Effect of variation of plastic hinge length on the results of non linear anal...
Effect of variation of plastic hinge length on the results of non linear anal...
 
Effect of use of recycled materials on indirect tensile strength of asphalt c...
Effect of use of recycled materials on indirect tensile strength of asphalt c...Effect of use of recycled materials on indirect tensile strength of asphalt c...
Effect of use of recycled materials on indirect tensile strength of asphalt c...
 

Recently uploaded

BPV-GUI-01-Guide-for-ASME-Review-Teams-(General)-10-10-2023.pdf
BPV-GUI-01-Guide-for-ASME-Review-Teams-(General)-10-10-2023.pdfBPV-GUI-01-Guide-for-ASME-Review-Teams-(General)-10-10-2023.pdf
BPV-GUI-01-Guide-for-ASME-Review-Teams-(General)-10-10-2023.pdf
MIGUELANGEL966976
 
gray level transformation unit 3(image processing))
gray level transformation unit 3(image processing))gray level transformation unit 3(image processing))
gray level transformation unit 3(image processing))
shivani5543
 
Engineering Drawings Lecture Detail Drawings 2014.pdf
Engineering Drawings Lecture Detail Drawings 2014.pdfEngineering Drawings Lecture Detail Drawings 2014.pdf
Engineering Drawings Lecture Detail Drawings 2014.pdf
abbyasa1014
 
Use PyCharm for remote debugging of WSL on a Windo cf5c162d672e4e58b4dde5d797...
Use PyCharm for remote debugging of WSL on a Windo cf5c162d672e4e58b4dde5d797...Use PyCharm for remote debugging of WSL on a Windo cf5c162d672e4e58b4dde5d797...
Use PyCharm for remote debugging of WSL on a Windo cf5c162d672e4e58b4dde5d797...
shadow0702a
 
IEEE Aerospace and Electronic Systems Society as a Graduate Student Member
IEEE Aerospace and Electronic Systems Society as a Graduate Student MemberIEEE Aerospace and Electronic Systems Society as a Graduate Student Member
IEEE Aerospace and Electronic Systems Society as a Graduate Student Member
VICTOR MAESTRE RAMIREZ
 
132/33KV substation case study Presentation
132/33KV substation case study Presentation132/33KV substation case study Presentation
132/33KV substation case study Presentation
kandramariana6
 
Advanced control scheme of doubly fed induction generator for wind turbine us...
Advanced control scheme of doubly fed induction generator for wind turbine us...Advanced control scheme of doubly fed induction generator for wind turbine us...
Advanced control scheme of doubly fed induction generator for wind turbine us...
IJECEIAES
 
CompEx~Manual~1210 (2).pdf COMPEX GAS AND VAPOURS
CompEx~Manual~1210 (2).pdf COMPEX GAS AND VAPOURSCompEx~Manual~1210 (2).pdf COMPEX GAS AND VAPOURS
CompEx~Manual~1210 (2).pdf COMPEX GAS AND VAPOURS
RamonNovais6
 
学校原版美国波士顿大学毕业证学历学位证书原版一模一样
学校原版美国波士顿大学毕业证学历学位证书原版一模一样学校原版美国波士顿大学毕业证学历学位证书原版一模一样
学校原版美国波士顿大学毕业证学历学位证书原版一模一样
171ticu
 
Material for memory and display system h
Material for memory and display system hMaterial for memory and display system h
Material for memory and display system h
gowrishankartb2005
 
john krisinger-the science and history of the alcoholic beverage.pptx
john krisinger-the science and history of the alcoholic beverage.pptxjohn krisinger-the science and history of the alcoholic beverage.pptx
john krisinger-the science and history of the alcoholic beverage.pptx
Madan Karki
 
DEEP LEARNING FOR SMART GRID INTRUSION DETECTION: A HYBRID CNN-LSTM-BASED MODEL
DEEP LEARNING FOR SMART GRID INTRUSION DETECTION: A HYBRID CNN-LSTM-BASED MODELDEEP LEARNING FOR SMART GRID INTRUSION DETECTION: A HYBRID CNN-LSTM-BASED MODEL
DEEP LEARNING FOR SMART GRID INTRUSION DETECTION: A HYBRID CNN-LSTM-BASED MODEL
gerogepatton
 
BRAIN TUMOR DETECTION for seminar ppt.pdf
BRAIN TUMOR DETECTION for seminar ppt.pdfBRAIN TUMOR DETECTION for seminar ppt.pdf
BRAIN TUMOR DETECTION for seminar ppt.pdf
LAXMAREDDY22
 
Textile Chemical Processing and Dyeing.pdf
Textile Chemical Processing and Dyeing.pdfTextile Chemical Processing and Dyeing.pdf
Textile Chemical Processing and Dyeing.pdf
NazakatAliKhoso2
 
UNLOCKING HEALTHCARE 4.0: NAVIGATING CRITICAL SUCCESS FACTORS FOR EFFECTIVE I...
UNLOCKING HEALTHCARE 4.0: NAVIGATING CRITICAL SUCCESS FACTORS FOR EFFECTIVE I...UNLOCKING HEALTHCARE 4.0: NAVIGATING CRITICAL SUCCESS FACTORS FOR EFFECTIVE I...
UNLOCKING HEALTHCARE 4.0: NAVIGATING CRITICAL SUCCESS FACTORS FOR EFFECTIVE I...
amsjournal
 
Computational Engineering IITH Presentation
Computational Engineering IITH PresentationComputational Engineering IITH Presentation
Computational Engineering IITH Presentation
co23btech11018
 
Unit-III-ELECTROCHEMICAL STORAGE DEVICES.ppt
Unit-III-ELECTROCHEMICAL STORAGE DEVICES.pptUnit-III-ELECTROCHEMICAL STORAGE DEVICES.ppt
Unit-III-ELECTROCHEMICAL STORAGE DEVICES.ppt
KrishnaveniKrishnara1
 
Generative AI leverages algorithms to create various forms of content
Generative AI leverages algorithms to create various forms of contentGenerative AI leverages algorithms to create various forms of content
Generative AI leverages algorithms to create various forms of content
Hitesh Mohapatra
 
官方认证美国密歇根州立大学毕业证学位证书原版一模一样
官方认证美国密歇根州立大学毕业证学位证书原版一模一样官方认证美国密歇根州立大学毕业证学位证书原版一模一样
官方认证美国密歇根州立大学毕业证学位证书原版一模一样
171ticu
 
Embedded machine learning-based road conditions and driving behavior monitoring
Embedded machine learning-based road conditions and driving behavior monitoringEmbedded machine learning-based road conditions and driving behavior monitoring
Embedded machine learning-based road conditions and driving behavior monitoring
IJECEIAES
 

Recently uploaded (20)

BPV-GUI-01-Guide-for-ASME-Review-Teams-(General)-10-10-2023.pdf
BPV-GUI-01-Guide-for-ASME-Review-Teams-(General)-10-10-2023.pdfBPV-GUI-01-Guide-for-ASME-Review-Teams-(General)-10-10-2023.pdf
BPV-GUI-01-Guide-for-ASME-Review-Teams-(General)-10-10-2023.pdf
 
gray level transformation unit 3(image processing))
gray level transformation unit 3(image processing))gray level transformation unit 3(image processing))
gray level transformation unit 3(image processing))
 
Engineering Drawings Lecture Detail Drawings 2014.pdf
Engineering Drawings Lecture Detail Drawings 2014.pdfEngineering Drawings Lecture Detail Drawings 2014.pdf
Engineering Drawings Lecture Detail Drawings 2014.pdf
 
Use PyCharm for remote debugging of WSL on a Windo cf5c162d672e4e58b4dde5d797...
Use PyCharm for remote debugging of WSL on a Windo cf5c162d672e4e58b4dde5d797...Use PyCharm for remote debugging of WSL on a Windo cf5c162d672e4e58b4dde5d797...
Use PyCharm for remote debugging of WSL on a Windo cf5c162d672e4e58b4dde5d797...
 
IEEE Aerospace and Electronic Systems Society as a Graduate Student Member
IEEE Aerospace and Electronic Systems Society as a Graduate Student MemberIEEE Aerospace and Electronic Systems Society as a Graduate Student Member
IEEE Aerospace and Electronic Systems Society as a Graduate Student Member
 
132/33KV substation case study Presentation
132/33KV substation case study Presentation132/33KV substation case study Presentation
132/33KV substation case study Presentation
 
Advanced control scheme of doubly fed induction generator for wind turbine us...
Advanced control scheme of doubly fed induction generator for wind turbine us...Advanced control scheme of doubly fed induction generator for wind turbine us...
Advanced control scheme of doubly fed induction generator for wind turbine us...
 
CompEx~Manual~1210 (2).pdf COMPEX GAS AND VAPOURS
CompEx~Manual~1210 (2).pdf COMPEX GAS AND VAPOURSCompEx~Manual~1210 (2).pdf COMPEX GAS AND VAPOURS
CompEx~Manual~1210 (2).pdf COMPEX GAS AND VAPOURS
 
学校原版美国波士顿大学毕业证学历学位证书原版一模一样
学校原版美国波士顿大学毕业证学历学位证书原版一模一样学校原版美国波士顿大学毕业证学历学位证书原版一模一样
学校原版美国波士顿大学毕业证学历学位证书原版一模一样
 
Material for memory and display system h
Material for memory and display system hMaterial for memory and display system h
Material for memory and display system h
 
john krisinger-the science and history of the alcoholic beverage.pptx
john krisinger-the science and history of the alcoholic beverage.pptxjohn krisinger-the science and history of the alcoholic beverage.pptx
john krisinger-the science and history of the alcoholic beverage.pptx
 
DEEP LEARNING FOR SMART GRID INTRUSION DETECTION: A HYBRID CNN-LSTM-BASED MODEL
DEEP LEARNING FOR SMART GRID INTRUSION DETECTION: A HYBRID CNN-LSTM-BASED MODELDEEP LEARNING FOR SMART GRID INTRUSION DETECTION: A HYBRID CNN-LSTM-BASED MODEL
DEEP LEARNING FOR SMART GRID INTRUSION DETECTION: A HYBRID CNN-LSTM-BASED MODEL
 
BRAIN TUMOR DETECTION for seminar ppt.pdf
BRAIN TUMOR DETECTION for seminar ppt.pdfBRAIN TUMOR DETECTION for seminar ppt.pdf
BRAIN TUMOR DETECTION for seminar ppt.pdf
 
Textile Chemical Processing and Dyeing.pdf
Textile Chemical Processing and Dyeing.pdfTextile Chemical Processing and Dyeing.pdf
Textile Chemical Processing and Dyeing.pdf
 
UNLOCKING HEALTHCARE 4.0: NAVIGATING CRITICAL SUCCESS FACTORS FOR EFFECTIVE I...
UNLOCKING HEALTHCARE 4.0: NAVIGATING CRITICAL SUCCESS FACTORS FOR EFFECTIVE I...UNLOCKING HEALTHCARE 4.0: NAVIGATING CRITICAL SUCCESS FACTORS FOR EFFECTIVE I...
UNLOCKING HEALTHCARE 4.0: NAVIGATING CRITICAL SUCCESS FACTORS FOR EFFECTIVE I...
 
Computational Engineering IITH Presentation
Computational Engineering IITH PresentationComputational Engineering IITH Presentation
Computational Engineering IITH Presentation
 
Unit-III-ELECTROCHEMICAL STORAGE DEVICES.ppt
Unit-III-ELECTROCHEMICAL STORAGE DEVICES.pptUnit-III-ELECTROCHEMICAL STORAGE DEVICES.ppt
Unit-III-ELECTROCHEMICAL STORAGE DEVICES.ppt
 
Generative AI leverages algorithms to create various forms of content
Generative AI leverages algorithms to create various forms of contentGenerative AI leverages algorithms to create various forms of content
Generative AI leverages algorithms to create various forms of content
 
官方认证美国密歇根州立大学毕业证学位证书原版一模一样
官方认证美国密歇根州立大学毕业证学位证书原版一模一样官方认证美国密歇根州立大学毕业证学位证书原版一模一样
官方认证美国密歇根州立大学毕业证学位证书原版一模一样
 
Embedded machine learning-based road conditions and driving behavior monitoring
Embedded machine learning-based road conditions and driving behavior monitoringEmbedded machine learning-based road conditions and driving behavior monitoring
Embedded machine learning-based road conditions and driving behavior monitoring
 

Loc, los and loes at speed testing methodologies for automatic test pattern generation using transition delay fault model

  • 1. IJRET: International Journal of Research in Engineering and Technology eISSN: 2319-1163 | pISSN: 2321-7308 __________________________________________________________________________________________ Volume: 03 Issue: 03 | Mar-2014, Available @ http://www.ijret.org 273 LOC, LOS AND LOES AT-SPEED TESTING METHODOLOGIES FOR AUTOMATIC TEST PATTERN GENERATION USING TRANSITION DELAY FAULT MODEL Parth Borda1 , Pankaj Prajapati2 1 M.E, Department of Electronics & Communication, L.D.College of Engineering, Gujarat, India 2 Assistant Professor, Department of Electronics & Communication, L.D.College of Engineering, Gujarat, India Abstract Faults, caused by timing-related defects in very large scale integrated circuits, are important to detect to optimize coverage and test time. Delay faults are only due to timing malfunction. At-speed test is only method to detect these delay faults. This paper describes and compares different at-speed testing techniques on vivid point of views along with them practical implementation. This paper also shows results generated by automatic test pattern generation tool for these techniques. Next, generated test patterns are simulated by using simulator and correctness of these methods are verified. Keywords: LOC (Launch on capture), LOS (Launch on shift), LOES (Launch on extra shift), At-speed testing. ----------------------------------------------------------------------***------------------------------------------------------------------------ 1. INTRODUCTION Down scaling of feature sizes in current submicron technology results in high operating frequencies and clock speeds. For this current VLSI technology, testing of only stuck-at fault is not sufficient. It is very important to detect faults produced by timing-related defect, which cannot be detected by ATEs whose frequency are lower than operating frequency of design. Timing related defect causes delay faults like transition delay fault and path delay fault. They can only be detected when testing frequency is same as functional frequency. This type of testing of design is called At-Speed testing. Transition delay model and path delay model are two widely used at-speed model today. [6][7] Unlike stuck-at fault test, at-speed test requires two test vectors or test patterns. First pattern initialize or active the fault at input of combinational logic block and second pattern launch transition in the logic value at fault site and propagate this transition to the outputs of the combinational block which are captured back in the scan chains. For an example, as shown in figure-1, second output signal cannot be detected because it is exerted by delay fault. Delay test can be classified based on how second vector is obtained as Launch on shift test (Skewed load delay test), Launch on capture test (Broad side delay test) and Launch on extra shift. [6] This section-I introduce transition and path delay faults, delay fault models and at-speed testing. Section-II explains LOC and LOS techniques for transition fault detection along with their advantages and disadvantages. Also we discuss importance of them in the design. Section-III explains LOES technique in detail along with its benefits and its comparison with other two techniques. Section-IV shows practical results and simulations of these delay fault detection techniques. And section-V concludes the paper by comparing all techniques. Fig-1: At-speed testing for a pattern (Pass – if transition captured and Fail – if transition not captured) [6] 1.1 Transition Delay and Path Delay Fault Models Transition fault model assumes only one gate is affected by slow-to rise fault and slow-to-fall fault. In faulty circuit, each gate has nominal delay and in the faulty circuit, any gate is exerted by high value of this delay. So under transition delay fault model, extra delay caused by delay fault is large enough. Hence signal cannot make transition within time of observation at primary output of combinational block. This transition delay fault is observed independently, whether it is
  • 2. IJRET: International Journal of Research in Engineering and Technology eISSN: 2319-1163 | pISSN: 2321-7308 __________________________________________________________________________________________ Volume: 03 Issue: 03 | Mar-2014, Available @ http://www.ijret.org 274 propagated through short or longer path, at output. The advantage of this fault model is that number of faults in the circuit are relatively small or linear in terms of the number of gates. [1] In the path delay fault model, circuit is behave like faulty if the delay of any of its path exceeds threshold limit. The delay defect on a path is detected by propagating transition through the path therefore transition is applied at the begging of the path. The limitation of path delay fault model is that number of paths in the circuit are very large. One strategy commonly is used for path delay fault testing is to select all paths which delays are greater than specified threshold. The reason of selecting the longer path is that the defects on shorter paths might not affect the circuit behaviour and if it large enough to affect circuit performance, it would be detected by transition delay fault test. [1] 2. LAUNCH ON CAPTURE (LOC) and LAUNCH ON SHIFT (LOS) Main transition fault ATPG methodologies are Launch on Capture and Launch on Shift (also known as broadside-load and skewed-load respectively). They both launch transition at the input of combinational block in different way for the same fault detection. As shown in figure-2, two vectors V1 and V2 are used to perform transition delay fault testing. Here figure-2(a) describes the LOC waveform. As illustrated, last shift of scan chain initialize the inputs of combinational block and first functional clock is used to launch transition in the combination block (here scan enable signal is de-asserted after V1). (a) (b) Fig-2 (a): LOC Waveform (b) LOS Waveform [2] Second functional clock would captures the propagated transition at the output. Then scan enable signal would asserted. Example, for scan chain having N scan-length, in LOC, first vector of N bit is loaded in to scan chain by N slow clock. Then two fast clock (functional clock) are used to launch and capture transition into and from the combinational block. Again scan chain unloads with N slow clocks. Here scan enable signal transit from high to low after last shift of loading process. So, launch clock always occur in function mode and launching of transition would be along function path. Now in LOS, launching of transition is different than LOC. In LOS, as shown in figure-2(b), last shift clock is used as second vector to launch transition in combinational block. Here during launching scan enable signal remains asserted so transition is launched along shift path. Let’s take scan chain of scan-length N as an example, under the LOS methodology, first N-1 bits of vector are loaded (shifted-in into scan chain) by slow clock would initialize the logic value at input of combinational block and Nth shift with fast clock would launch transition. Then scan enable signal goes low. After that fast capture clock comes as shown in figure-2(b). Again slow clock is used to unload the scan chain. 2.1 Pros and Cons of LOC and LOS 1) In LOC, V2 is generated by applying functional clock from V1 whereas in LOS, V2 is shifted vector of V1. And to launch transition on shift path is very easy than to launch transition on functional path. 2) LOC techniques uses sequential engine during automatic test pattern generation (ATPG) whereas LOS uses combination engine for ATPG. So LOS requires to do some extra setup to perform ATPG. 3) In LOS, the fault activation path or scan path is fully controllable from the input of scan chain while in LOC, controllability of launching transition at fault site is less ( its depends on the functional response of logic blocks to initialize vector) results LOS give better controllability cause better fault coverage and less patterns than LOC. 4) In LOC, after all slow clocks for loading there is dead clock zone so, scan enable signal can easily make transition from high to low. But in case of LOS, fast scan enable signal must design to make transition between two high speed clocks means scan enable signal must operate at full speed. This will increase cost of testing. As solution of this problem in LOS, pipelined architecture is used for scan enable signal. This scan enable signal is called pipelined scan enable signal. [2] 5) In LOS, last shift happen with fast clock and entire design will become active result average power in launch cycle is very high. 6) In LOS, lash shift would happen at high speed clock will force to place additional timing requirements on an On chip Clock (OCC) controller in multi-clock domain design.
  • 3. IJRET: International Journal of Research in Engineering and Technology eISSN: 2319-1163 | pISSN: 2321-7308 __________________________________________________________________________________________ Volume: 03 Issue: 03 | Mar-2014, Available @ http://www.ijret.org 275 3. LAUNCH ON EXTRA SHIFT (LOES) LOES – Launch on Extra shift is delay fault test technique used to solve problems of LOS methodology already mentioned. In LOES methodology, transition is launched by extra fast shift clock at inputs of combinational block as shown in figure-3. In case of scan chain having N bit scan- length, N slow shift clock cycle require to load entire scan chain and (N+1)th fast shift clock is used to launch the transition. Fig-3: LOES Waveform [2] Then fast functional clock happens to capture response after scan enable signal goes low. So, in LOES, extra shift and capture clocks are at-speed clocks. Essentially, loading- unloading processes of LOES are similar to LOC whereas launching process is same as LOS because transition is launched through shift path. [2] 3.1 Comparisons with LOC and LOS 1) Since in LOES, transition is launched by an extra shift this methodology is as efficient as LOS in terms of coverage and test patterns. 2) LOES also uses sequential engine to perform ATPG like LOC and all ATPG setup are same as LOC. 3) LOES also has a same problem scan enable signal as shown in LOS that scan enable signal must be design to operate at high speed. And also pipelined scan enable signal requires as solution that need pipelined architecture. 4) In LOES, all bits of patterns are shifted into scan chain through slow clocks so, unlike LOS, LOES doesn’t need additional timing hardware on an OCC controller. 3.2 Pipelined Structure for LOS and LOES Methodologies As we have discussed earlier, transition of scan enable signal between two function frequency clocks would increase the cost of testing and also it is very difficult with low speed ATEs. So, pipelined scan enable signal is a solution for that. Figure-4 illustrates the structure of pipelined scan enable signal normally used for LOS and LOES techniques and waveforms for same. (a) (b) Fig-4: (a) Scan Enable Pipelined Circuitry (b) waveforms of pipelined scan enable signal for LOS and LOES [2] Above figure-4(a) shows implementation of pipelined scan enable signal in ASIC design. SE is controlled by external tester and PSE is pipelined scan enable signal is internally generated and is forwarded to all scan enable pins of scannable flops available in scan chain. Here PEN is pipelined enable signal. It is generally high to active pipelined structure during LOS and LOES automatic test pattern generation. It remains low during LOC because it gives same logic values on SE and PSE pins. So, low logic value on PSE would deactivate pipelined structure. Figure-4(b) shows even-though for LOS and LOES external tester make transition in scan enable (SE) signal before launch shift, pipelined scan enable (PSE) signal switches between two fast clocks. This would solve the problem of requirement of costly external signal to operate top level scan enable signal at functional speed.
  • 4. IJRET: International Journal of Research in Engineering and Technology eISSN: 2319-1163 | pISSN: 2321-7308 __________________________________________________________________________________________ Volume: 03 Issue: 03 | Mar-2014, Available @ http://www.ijret.org 276 4. RESULTS AND SIMULATIONS Here below figure-5 shows results of one VLSI design in terms of fault coverage and number of test patterns for LOC, LOS and LOES methodology which are generated by automatic test pattern generation tool. (a) (b) (c) Fig-5: Fault coverage and Number of test patterns for (a) LOC, (b) LOS and (c) LOES As shown in above figure, it becomes very easy to compare these three techniques. Here as per our discussion LOS and LOES are efficient methodologies in terms of test coverage and test patterns but major disadvantage of both is its implementation is difficult because they require fast scan enable signal. (a) (b) (c) Fig-6: Simulated waveforms of a test pattern for (a) LOC, (b) LOS and (c) LOES Also figure-6 shows simulated waveforms for all these techniques that verifies correctness of these methodology. These are similar to our theoretical waveform discussed above. In this figure-6, launch and capture clock cycles of one pattern are shown. 5. CONCLUSIONS In this paper, we studied an effective and practical transition and path delay testing methodologies. This paper also convey the basic understanding of LOC, LOS and LOES transition delay testing techniques along with their comparison. The main goal of this paper is to give brief about benefits and difficulties, of these three methodologies, we need to consider during delay testing and automatic test pattern generation. Also results compare these methods in terms of coverage and number of test patterns.
  • 5. IJRET: International Journal of Research in Engineering and Technology eISSN: 2319-1163 | pISSN: 2321-7308 __________________________________________________________________________________________ Volume: 03 Issue: 03 | Mar-2014, Available @ http://www.ijret.org 277 REFERENCES [1]. Laung-Terngwang, Cheng-Wen Wu, Xiaoqing Wen, "Design For Testablity, VLSI test priciples and Architectures",2003 [2]. Milan Shetty,Swathi G, Rubin A Parekhji, " Launch-off extra shift(LOES) transition fault ATPG methodology", SNUG 2011 [3]. Synopsys "TetraMAX User guide", Version:D-2010.03- SP5, October 2010 [4]. Synopsys "VCS User guide", Version:Y-20o6.06-SP2, March 2008 [5]. Synopsys "Test Pattern Validation User guide", Version:D-2010.03-SP5, October 2010 [6]. Bruce Swanson, Michelle Lange, "AT-Speed testing made easy", EE-times, April-May 2003, pp.39-46 [7]. Lin Wei, Shi Wenlong, "A new circuit for at-speed scan SoC testing", Chinese Institute of Electronics, Journal of Semiconductors, Vol.34, No.12 December 2013