SlideShare a Scribd company logo
Transition Fault Testing
– Delay fault models
Swetha Mettala Gilla
Maseeh College of Engineering and Computer Science
Portland State University
Summer 2015
slide 1 of 63
Book References
[1] M. L. Bushnell and V. D. Agrawal “Chapter 12- Delay Test, Book -Essentials
of Electronic Testing for Digital, Memory, and Mixed-Signal VLSI Circuits,”
Springer, 2005.
[2] M. Abramovici et al., “Digital Systems Testing and Testable Design,” IEEE
2009.
[3] A. Krstic and K.T Cheng, “Delay Fault Testing for VLSI Circuits,” IEEE
1998.
[4] S. K. Goel, “Testing for Small-Delay Defects in Nanoscale CMOS
Integrated Circuits,” Taylor and Francis Group, 2013.
[5] M. Tehranipoor et al., “Chapter 2: Delay Test, Book: Test and Diagnosis for
Small-Delay Defects,” Springer, 2011.
Defects and Faults
• Defects?
• Manufacturing defects
• Resistive bridges, resistive opens etc.
• certain manufacturing defects do not change the logic function but cause timing
violations
• Design Errors
• Aggressive place and route
• Geometry variations: Line spacing and line thickness
• Process Variations
• Gate threshold variations
• Defect introduces a fault into the system.
• Faults are classified as
• Logical faults
• Causes logic function of a circuit to change to some other logic function
• Parametric fault
• Alters the magnitude of a circuit parameter: speed, current or voltage
• Delay fault is one of a parametric fault caused due to slow gates in the circuit and
affects the operating speed of the system
Delay Fault
• Delay fault
• affect the propagation delay of the circuit at high speed
• defects that cause delay faults are:
• Resistive shorting: defects between nodes and to the supply rails
• Parasitic transistor leakages, defective pn junctions and incorrect or shifted
threshold voltages
• Certain types of opens
• Process variations
• Delay Faults in Asynchronous Circuits?
• Asynchronous circuits obey certain timing constraints
• Delay Fault in control path
 may degrade the circuit performance
• Delay Fault in data path
 may violate the timing constraints and causes circuit to fail during normal
operation.
Delay Faults
Affect propagation delay of the circuit
•Circuit fails at high speeds
More important for high-speed circuits
Types of Delay Faults are:
•Gate Delay Fault (GDF)
• Delayed 1-to-0 or 0-to-1 transition at
a gate output
•Path Delay Fault (PDF)
• Exists a path from a primary input to
primary output is slow to propagate
0-to-1 or 1-to-0 transition
• Number of paths is an exponential
function of gates
Graph
Delay Fault Testing
• Fault Models
• Stuck-at fault test covers
• Shorts and opens
• Resistive shorts – Not covered
• Delay fault test covers
• Resistive opens and coupling faults
• Resistive power supply lines
• Process variations
• Delay Fault Testing
• Propagation delay of all paths in a circuit must be less than clock period
for correct operation
• Functional tests applied at operational speed of circuit are often used for
delay faults
• Scan based stuck-at tests are often applied at speed
• However, functional and stuck-at testing even if done at-speed do not
specifically target delay faults
Transition Faults
• Detection
• Testing for gate delay faults require accounting for delay defect size. Ex:
if the defect size at a circuit lead r is less than the slack of r, the fault
may not be detected.
• Slack of a circuit line is the difference between the period of the
functional clock and the max delay of all paths through r.
• Types of Gate Delay Faults
• Gross gate delay fault (G-GDF): one gate delay defect size is
greater than the system clock period
• DFs in all paths going through faulty gate, hence catastrophic
• Also called as transition fault
• Small GDF (S-GDF): delay defect size is smaller than system
clock period
• Detectable if causes Path Delay Fault in at least one path through
the gate
We want to focus on Gross gate delay fault i.e. Transition
fault
• All input transitions occur at the same time in the figure below
• The position of each output transition depends upon the delay of some input to output
combinational path
• The right edge of the output transition(red shaded region) is determined by
the last transition
• Delay of the longest combinational path activated by the current input vector
• The delay of critical paths determines the smallest clock period at which the circuit can
function correctly.
Digital Circuit Timing
Circuit Delays
• Switching or inertial delay
• Interval between input change and output change of a gate
• Depends on input capacitance, device (transistor) characteristics and output
capacitance of gate
• Also depends on input rise or fall times and states of other inputs (second-
order effects)
• Approximation: fixed rise and fall delays (or min-max range delay) for gate
output
• Propagation delay or interconnect delay
• Is the time a transition takes to travel between gates
• Depends on transmission line effects (distributed R,L, C parameters, length,
loading) of routing paths
• Approximation: modeled as lumped delays for gate inputs
Circuit Outputs
• Input and output changes of a combinational logic are synchronized with
clocks
• Each path can potentially produce one signal transition at the output
• The location of an output transition in time is determined by the delay of the
path
Delay Fault Models
• Segment-delay Fault model
• A segment of an I/O path is assumed to have large delay such that all paths
containing the segment become faulty
• Transition Fault model
• A segment delay fault with segment of unit length (two faults per gate)
• Slow-to-rise, slow-to-fall (we refer these as: delayed 0-to-1 and delayed 1-to-0)
• Models spot delay defects
• Gate-delay Fault model
• A gate is assumed to have a delay increase of certain amount while other gates
retain some nominal delays. Gate delay faults only of certain sizes may be
detectable.
• Path-delay Fault model
• Two path delay faults for each physical path (distributed path faults)
• Total number of path is an exponential function of gates
• Line-delay Fault
• A transition fault tested through the longest delay path. Two faults per line or
gate. Tests are dependent on modeled delays of gates
Transition Delay Fault
• Transition fault (or Gross Gate Delay fault)
• Even though the circuit doesn’t have a logical defect, it may have some
physical defect such as a process variation and that creates a large
enough gate delay to cause problems
• Transition Fault model
• Assumes that the delay fault affects only one gate in the circuit
• Assumes the logic function of circuit under test (CUT) is error-free
• Types of faults: delayed 0-to-1 & delayed 1-to-0
 Fault at any node means the effect of any transition from 0 to 1 for delayed
high (or 1 to 0 for delayed low) will not reach primary output within the
stipulated time
 extra delay (delay above the nominal delay) caused by the fault is assumed
to be large enough to prevent the transition from reaching primary output at
the time of observation
• Advantages
• the number of faults in the circuit increase linearly with the number of gates
• Practically used: stuck-at fault CAD tools with minor modifications
[Goel2013][Waicukauski1987]
Testing for Transition Faults
• Popular Scan Based Delay Fault Testing (from Bushnell)
• Normal Scan Sequential Test (Transition Delay Test)
• Enhanced Scan Test
• Slow Clock Combinational Test
• Variable-Clock Non-Scan Sequential Test
• Rated-Clock Non-Scan Sequential Test
Testing for Transition Faults
• Popular Scan Based Delay Fault Testing (from Bushnell)
• Normal Scan Sequential Test (Transition Delay Test)
• The two popular methods:
• Launch-off-capture (functional transition test)
• Launch-off-shift (skewed load delay test)
• Tested for delay faults but vector pairs must be specially generated
• Both methods are used for path-delay and transition faults.
• Enhanced Scan Test
• Slow Clock Combinational Test
• Variable-Clock Non-Scan Sequential Test
• Rated-Clock Non-Scan Sequential Test
Scan Based Delay Fault Testing
Transition Delay Testing Normal Scan Test
• Whole test operation is divided
into three cycles
 Initialization Cycle (IC) where the CUT
is initialized to a particular state by
applying V1
 Launch Cycle (LC) where the CUT is a
transition is launched at the target gate
terminal by applying V2
 Capture Cycle (CC) where the
transition is propagated and captured
at an observation point
• for testing- we need a scan design
and a launch setup
• scan is used only to set the states.
• Transition Test
 Pattern Pair (V1, V2).
 Pattern V1 is the initialization pattern
 Pattern V2 is the launch pattern
 Capture Result (capture response at-
speed)
• Scan Based Transition Test
 Shift-in (initialization pattern).
 Launch a transition
 Capture result
 Shift out contents
Launch-off-shift (LOS) and launch-off-
capture (LOC) are the two most
widely used transition test methods
Scan Based Delay Fault Testing
Transition Delay Testing
• Transition Test
 Pattern Pair (V1, V2).
 Pattern V1 is the initialization pattern
 Pattern V2 is the launch pattern
 Capture Result (capture response at-
speed)
• Scan Based Transition Test
 Shift-in (initialization pattern).
 Launch a transition
 Capture result
 Shift out contents
Launch-off-shift (LOS) and launch-off-
capture (LOC) are the two most
widely used transition test methods
Normal Scan Test
• Apply a V1-> V2 transition at the inputs (PI/states)
of a combinational circuit
• Normal full-scan circuits
• V1 states serially shifted in and V2 states are
generated by
• A) one-bit scan shift of V1
• B) apply V1 in a normal mode
Testing for Transition Faults
• Popular Scan Based Delay Fault Testing (from Bushnell)
• Normal Scan Sequential Test (Transition Delay Test):
• The two popular methods:
• Launch-off-capture (functional transition test)
• Launch-off-shift (skewed load delay test)
• Enhanced Scan Test
• Applicable to scan types of sequential circuits
• Advantage: arbitrary vector pair can be applied
• Uses hold latches and additional HOLD signal
• Disadvantage: scan area overhead due to hold latch and also adds some
delay in the signal path.
• Slow Clock Combinational Test
• Variable-Clock Non-Scan Sequential Test
• Rated-Clock Non-Scan Sequential Test
Scan Based Delay Fault Testing
Enhanced Scan Test
• Apply a transition at the primary inputs (PI/states)
of a combinational circuit
• Normal scan chain is enhanced by inserting hold
latches and & hold signal
• Generate any arbitrary pattern-pair
Enhanced Scan Test- Steps
 Portion of V1 is serially shifted in the scan
register by setting TC= 0 and applying clock
CK
 Scanned V1 bits are transferred to hold
latches by setting HOLD = 1, and also apply
PI bits of V1
 As signals stabilize due to V1, the state bits
of V2 are scanned in
 Simultaneously activation of HOLD (=1) and
application of PI bits of V2 provides V1-> V2
transition
 Set the circuit in normal mode (TC =1)
 for exactly one rated-clock period, at the
end of which the clock CK latches the
combinational outputs in the FFs
 Like normal scan, scan out the response
can be overlapped with scan in of next
vector
Scan Based Delay Fault Testing
Enhanced Scan Test Timing Diagram
• The control input HOLD keeps the output steady
at previous state of flip-flop
• Why needed?
• Reduce power dissipation during Scan
• Isolate asynchronous parts during scan test
Scan Based Delay Fault Testing
Enhanced Scan Test- Steps
 Portion of V1 is serially shifted in the scan
register by setting TC= 0 and applying clock
CK
 Scanned V1 bits are transferred to hold
latches by setting HOLD = 1, and also apply
PI bits of V1
 As signals stabilize due to V1, the state bits
of V2 are scanned in
 Simultaneously activation of HOLD (=1) and
application of PI bits of V2 provides V1-> V2
transition
 Set the circuit in normal mode (TC =1)
 for exactly one rated-clock period, at the
end of which the clock CK latches the
combinational outputs in the FFs
 Like normal scan, scan out the response
can be overlapped with scan in of next
vector
Timing Diagram
Normal Scan Test
Normal Scan Test
V2 states are generated by
•(A) Shift in 1 bit after scan in of V1 in the following slow-clock
cycle i.e. (test control TC= 0)
•(B) V2 is the output of the combinational logic
A: TC V2
By scan shift
B: TC V2
By functional
Normal Scan Test (Launch-off-shift)
Launch-off-shift (LOS)
Steps
•Transition launched in last shift cycle
•Scan enable must switch at-speed
•Launch path is scan path more controllable
•E.g.: V1 = 01000101
V2= 10100010
Normal Scan Test (Launch-off-capture)
Launch-off-capture (LOC)
Steps
•Transition launched from functional path
•Scan enable doesn’t have to switch at-speed
•Functional launch path
- less controllable
Testing for Transition Faults
• Popular Scan Based Delay Fault Testing (from Bushnell)
• Normal Scan Sequential Test (Transition Delay Test)
• The two popular methods:
• Launch-off-capture (functional transition test)
• Launch-off-shift (skewed load delay test)
• Enhanced Scan Test
• Applicable to scan types of sequential circuits
• Slow Clock Combinational Test
• Applicable to combinational circuits or to those sequential circuits that are
internally combinational with flip-flops only at PIs and POs
• This method is useful when ATE cannot apply the vectors at rated speed
• Variable-Clock Non-Scan Sequential Test
• Rated-Clock Non-Scan Sequential Test
Slow-Clock Test
Testing for Transition Faults
• Popular Scan Based Delay Fault Testing (from Bushnell)
• Normal Scan Sequential Test (Transition Delay Test):
• The two popular methods:
• Launch-off-capture (functional transition test)
• Launch-off-shift (skewed load delay test)
• Enhanced Scan Test
• Applicable to scan types of sequential circuits
• Slow Clock Combinational Test
• Applicable to combinational circuits or to those sequential circuits that are
internally combinational with flip-flops only at PIs and POs
• Variable-Clock Non-Scan Sequential Test
• Requires more than two vectors
• Slow-clock prevents the delays in the circuit interfering with detection of the
target fault
• Since rated clock is used, other path delays can also affect the signals and
the state FFs.
• Rated-Clock Non-Scan Sequential Test
Variable-Clock Sequential Test
Testing for Transition Faults
• Popular Scan Based Delay Fault Testing (from Bushnell)
• Normal Scan Sequential Test (Transition Delay Test):
• The two popular methods:
• Launch-off-capture (functional transition test)
• Launch-off-shift (skewed load delay test)
• Enhanced Scan Test
• Applicable to scan types of sequential circuits
• Slow Clock Combinational Test
• Applicable to combinational circuits or to those sequential circuits that are
internally combinational with flip-flops only at PIs and Pos
• Variable-Clock Non-Scan Sequential Test
• Requires more than two vectors
• Rated-Clock Non-Scan Sequential Test
• Most natural form of test. All vectors are applied at rated speed. A target
delay fault can be activated several times
• If robust detection is desired, one must consider all delay combinations that
are potentially possible : PS I don’t have any example slide at the moment
Other References
[1] N. Ahmed et al, “Enhanced Launch-off-capture Transition Fault Testing,”
IEC, pp. 279–289, 2005.
[2] M. Roncken, “Defect-Oriented Testability for Asynchronous ICs,” In Proc.
IEEE, vol. 87, no. 2, pp. 363–375, Feb.1999.
[3] D. Vasudevan, “Automatic Test Pattern Generation for Asynchronous
Circuits,” Dissertation, 2012.
[4] S. Jayanthy et al, “Fuzzy Delay Model Based Fault Simulator for Crosstalk
Delay Fault Test Generation in Asynchronous Sequential Circuits,” IAS,
2015.
[5] M. Roncken et al, “Fsimac: A Fault Simulator for Asynchronous Sequential
Circuits,” IEEE, 2000.
[6] J. A. Waicukauski et al., “Transition Fault Simulation,” IEEE Design and
Test, 1987.

More Related Content

What's hot

Design for Testability
Design for Testability Design for Testability
Design for Testability
kumar gavanurmath
 
Spyglass dft
Spyglass dftSpyglass dft
Spyglass dft
kumar gavanurmath
 
ATPG Methods and Algorithms
ATPG Methods and AlgorithmsATPG Methods and Algorithms
ATPG Methods and AlgorithmsDeiptii Das
 
Loc, los and loes at speed testing methodologies for automatic test pattern g...
Loc, los and loes at speed testing methodologies for automatic test pattern g...Loc, los and loes at speed testing methodologies for automatic test pattern g...
Loc, los and loes at speed testing methodologies for automatic test pattern g...
eSAT Journals
 
Automatic Test Pattern Generation (Testing of VLSI Design)
Automatic Test Pattern Generation (Testing of VLSI Design)Automatic Test Pattern Generation (Testing of VLSI Design)
Automatic Test Pattern Generation (Testing of VLSI Design)
Usha Mehta
 
5. DFT.pptx
5. DFT.pptx5. DFT.pptx
5. DFT.pptx
Ahmed Abdelazeem
 
Applications of ATPG
Applications of ATPGApplications of ATPG
Applications of ATPG
Ushaswini Chowdary
 
04~chapter 02 dft.ppt
04~chapter 02 dft.ppt04~chapter 02 dft.ppt
04~chapter 02 dft.ppt
SandipSolanki10
 
2019 5 testing and verification of vlsi design_fault_modeling
2019 5 testing and verification of vlsi design_fault_modeling2019 5 testing and verification of vlsi design_fault_modeling
2019 5 testing and verification of vlsi design_fault_modeling
Usha Mehta
 
Test pattern Generation for 4:1 MUX
Test pattern Generation for 4:1 MUXTest pattern Generation for 4:1 MUX
Test pattern Generation for 4:1 MUX
UrmilasSrinivasan
 
11 static timing_analysis_2_combinational_design
11 static timing_analysis_2_combinational_design11 static timing_analysis_2_combinational_design
11 static timing_analysis_2_combinational_design
Usha Mehta
 
6 verification tools
6 verification tools6 verification tools
6 verification tools
Usha Mehta
 
BUilt-In-Self-Test for VLSI Design
BUilt-In-Self-Test for VLSI DesignBUilt-In-Self-Test for VLSI Design
BUilt-In-Self-Test for VLSI Design
Usha Mehta
 
Deterministic Test Pattern Generation ( D-Algorithm of ATPG) (Testing of VLSI...
Deterministic Test Pattern Generation ( D-Algorithm of ATPG) (Testing of VLSI...Deterministic Test Pattern Generation ( D-Algorithm of ATPG) (Testing of VLSI...
Deterministic Test Pattern Generation ( D-Algorithm of ATPG) (Testing of VLSI...
Usha Mehta
 
13 static timing_analysis_4_set_up_and_hold_time_violation_remedy
13 static timing_analysis_4_set_up_and_hold_time_violation_remedy13 static timing_analysis_4_set_up_and_hold_time_violation_remedy
13 static timing_analysis_4_set_up_and_hold_time_violation_remedy
Usha Mehta
 
Level sensitive scan design(LSSD) and Boundry scan(BS)
Level sensitive scan design(LSSD) and Boundry scan(BS)Level sensitive scan design(LSSD) and Boundry scan(BS)
Level sensitive scan design(LSSD) and Boundry scan(BS)
Praveen Kumar
 
2 when to_test_role_of_testing
2 when to_test_role_of_testing2 when to_test_role_of_testing
2 when to_test_role_of_testing
Usha Mehta
 
Fault Simulation (Testing of VLSI Design)
Fault Simulation (Testing of VLSI Design)Fault Simulation (Testing of VLSI Design)
Fault Simulation (Testing of VLSI Design)
Usha Mehta
 
1.Week1.pptx
1.Week1.pptx1.Week1.pptx
1.Week1.pptx
sathisha36
 
Design for Testability DfT Seminar
Design for Testability DfT SeminarDesign for Testability DfT Seminar
Design for Testability DfT Seminar
Accendo Reliability
 

What's hot (20)

Design for Testability
Design for Testability Design for Testability
Design for Testability
 
Spyglass dft
Spyglass dftSpyglass dft
Spyglass dft
 
ATPG Methods and Algorithms
ATPG Methods and AlgorithmsATPG Methods and Algorithms
ATPG Methods and Algorithms
 
Loc, los and loes at speed testing methodologies for automatic test pattern g...
Loc, los and loes at speed testing methodologies for automatic test pattern g...Loc, los and loes at speed testing methodologies for automatic test pattern g...
Loc, los and loes at speed testing methodologies for automatic test pattern g...
 
Automatic Test Pattern Generation (Testing of VLSI Design)
Automatic Test Pattern Generation (Testing of VLSI Design)Automatic Test Pattern Generation (Testing of VLSI Design)
Automatic Test Pattern Generation (Testing of VLSI Design)
 
5. DFT.pptx
5. DFT.pptx5. DFT.pptx
5. DFT.pptx
 
Applications of ATPG
Applications of ATPGApplications of ATPG
Applications of ATPG
 
04~chapter 02 dft.ppt
04~chapter 02 dft.ppt04~chapter 02 dft.ppt
04~chapter 02 dft.ppt
 
2019 5 testing and verification of vlsi design_fault_modeling
2019 5 testing and verification of vlsi design_fault_modeling2019 5 testing and verification of vlsi design_fault_modeling
2019 5 testing and verification of vlsi design_fault_modeling
 
Test pattern Generation for 4:1 MUX
Test pattern Generation for 4:1 MUXTest pattern Generation for 4:1 MUX
Test pattern Generation for 4:1 MUX
 
11 static timing_analysis_2_combinational_design
11 static timing_analysis_2_combinational_design11 static timing_analysis_2_combinational_design
11 static timing_analysis_2_combinational_design
 
6 verification tools
6 verification tools6 verification tools
6 verification tools
 
BUilt-In-Self-Test for VLSI Design
BUilt-In-Self-Test for VLSI DesignBUilt-In-Self-Test for VLSI Design
BUilt-In-Self-Test for VLSI Design
 
Deterministic Test Pattern Generation ( D-Algorithm of ATPG) (Testing of VLSI...
Deterministic Test Pattern Generation ( D-Algorithm of ATPG) (Testing of VLSI...Deterministic Test Pattern Generation ( D-Algorithm of ATPG) (Testing of VLSI...
Deterministic Test Pattern Generation ( D-Algorithm of ATPG) (Testing of VLSI...
 
13 static timing_analysis_4_set_up_and_hold_time_violation_remedy
13 static timing_analysis_4_set_up_and_hold_time_violation_remedy13 static timing_analysis_4_set_up_and_hold_time_violation_remedy
13 static timing_analysis_4_set_up_and_hold_time_violation_remedy
 
Level sensitive scan design(LSSD) and Boundry scan(BS)
Level sensitive scan design(LSSD) and Boundry scan(BS)Level sensitive scan design(LSSD) and Boundry scan(BS)
Level sensitive scan design(LSSD) and Boundry scan(BS)
 
2 when to_test_role_of_testing
2 when to_test_role_of_testing2 when to_test_role_of_testing
2 when to_test_role_of_testing
 
Fault Simulation (Testing of VLSI Design)
Fault Simulation (Testing of VLSI Design)Fault Simulation (Testing of VLSI Design)
Fault Simulation (Testing of VLSI Design)
 
1.Week1.pptx
1.Week1.pptx1.Week1.pptx
1.Week1.pptx
 
Design for Testability DfT Seminar
Design for Testability DfT SeminarDesign for Testability DfT Seminar
Design for Testability DfT Seminar
 

Similar to 01 Transition Fault Detection methods by Swetha

10 static timing_analysis_1_concept_of_timing_analysis
10 static timing_analysis_1_concept_of_timing_analysis10 static timing_analysis_1_concept_of_timing_analysis
10 static timing_analysis_1_concept_of_timing_analysis
Usha Mehta
 
Te442 lecture02-2016-14-4-2016-1
Te442 lecture02-2016-14-4-2016-1Te442 lecture02-2016-14-4-2016-1
Te442 lecture02-2016-14-4-2016-1
colman mboya
 
Sta by usha_mehta
Sta by usha_mehtaSta by usha_mehta
Sta by usha_mehta
Usha Mehta
 
Random access scan
Random access scan Random access scan
Random access scan
Harish Peta
 
2019 3 testing and verification of vlsi design_sta
2019 3 testing and verification of vlsi design_sta2019 3 testing and verification of vlsi design_sta
2019 3 testing and verification of vlsi design_sta
Usha Mehta
 
12 static timing_analysis_3_clocked_design
12 static timing_analysis_3_clocked_design12 static timing_analysis_3_clocked_design
12 static timing_analysis_3_clocked_design
Usha Mehta
 
Queuing theory and traffic analysis in depth
Queuing theory and traffic analysis in depthQueuing theory and traffic analysis in depth
Queuing theory and traffic analysis in depth
IdcIdk1
 
SoC Power Reduction
SoC Power ReductionSoC Power Reduction
SoC Power Reduction
Mahesh Dananjaya
 
VLSI Power Reduction
VLSI Power ReductionVLSI Power Reduction
VLSI Power Reduction
Mahesh Dananjaya
 
Static_Timing_Analysis_in_detail.pdf
Static_Timing_Analysis_in_detail.pdfStatic_Timing_Analysis_in_detail.pdf
Static_Timing_Analysis_in_detail.pdf
Usha Mehta
 
The art of system and solution testing
The art of system and solution testingThe art of system and solution testing
The art of system and solution testinggaoliang641
 
Variation aware Testing
Variation aware TestingVariation aware Testing
Variation aware Testing
Rahul Krishnamurthy
 
DFT-Lecture regarding the JTAG, MBIST introduction to DFT
DFT-Lecture regarding the JTAG, MBIST introduction to DFTDFT-Lecture regarding the JTAG, MBIST introduction to DFT
DFT-Lecture regarding the JTAG, MBIST introduction to DFT
jagneswardharua
 
Soc.pptx
Soc.pptxSoc.pptx
Soc.pptx
Jagu Mounica
 
Loc, los and loes at speed testing methodologies for automatic test pattern g...
Loc, los and loes at speed testing methodologies for automatic test pattern g...Loc, los and loes at speed testing methodologies for automatic test pattern g...
Loc, los and loes at speed testing methodologies for automatic test pattern g...
eSAT Publishing House
 
ASIC Design Fundamentals.pptx
ASIC Design Fundamentals.pptxASIC Design Fundamentals.pptx
ASIC Design Fundamentals.pptx
RameshK531901
 
faults in digital systems
faults in digital systemsfaults in digital systems
faults in digital systems
dennis gookyi
 
Design of cmos based ring oscillator
Design of cmos based ring oscillatorDesign of cmos based ring oscillator
Design of cmos based ring oscillator
Ushaswini Chowdary
 
Wavelet Based on the Finding of Hard and Soft Faults in Analog and Digital Si...
Wavelet Based on the Finding of Hard and Soft Faults in Analog and Digital Si...Wavelet Based on the Finding of Hard and Soft Faults in Analog and Digital Si...
Wavelet Based on the Finding of Hard and Soft Faults in Analog and Digital Si...
ijcisjournal
 

Similar to 01 Transition Fault Detection methods by Swetha (20)

10 static timing_analysis_1_concept_of_timing_analysis
10 static timing_analysis_1_concept_of_timing_analysis10 static timing_analysis_1_concept_of_timing_analysis
10 static timing_analysis_1_concept_of_timing_analysis
 
mod7.pdf
mod7.pdfmod7.pdf
mod7.pdf
 
Te442 lecture02-2016-14-4-2016-1
Te442 lecture02-2016-14-4-2016-1Te442 lecture02-2016-14-4-2016-1
Te442 lecture02-2016-14-4-2016-1
 
Sta by usha_mehta
Sta by usha_mehtaSta by usha_mehta
Sta by usha_mehta
 
Random access scan
Random access scan Random access scan
Random access scan
 
2019 3 testing and verification of vlsi design_sta
2019 3 testing and verification of vlsi design_sta2019 3 testing and verification of vlsi design_sta
2019 3 testing and verification of vlsi design_sta
 
12 static timing_analysis_3_clocked_design
12 static timing_analysis_3_clocked_design12 static timing_analysis_3_clocked_design
12 static timing_analysis_3_clocked_design
 
Queuing theory and traffic analysis in depth
Queuing theory and traffic analysis in depthQueuing theory and traffic analysis in depth
Queuing theory and traffic analysis in depth
 
SoC Power Reduction
SoC Power ReductionSoC Power Reduction
SoC Power Reduction
 
VLSI Power Reduction
VLSI Power ReductionVLSI Power Reduction
VLSI Power Reduction
 
Static_Timing_Analysis_in_detail.pdf
Static_Timing_Analysis_in_detail.pdfStatic_Timing_Analysis_in_detail.pdf
Static_Timing_Analysis_in_detail.pdf
 
The art of system and solution testing
The art of system and solution testingThe art of system and solution testing
The art of system and solution testing
 
Variation aware Testing
Variation aware TestingVariation aware Testing
Variation aware Testing
 
DFT-Lecture regarding the JTAG, MBIST introduction to DFT
DFT-Lecture regarding the JTAG, MBIST introduction to DFTDFT-Lecture regarding the JTAG, MBIST introduction to DFT
DFT-Lecture regarding the JTAG, MBIST introduction to DFT
 
Soc.pptx
Soc.pptxSoc.pptx
Soc.pptx
 
Loc, los and loes at speed testing methodologies for automatic test pattern g...
Loc, los and loes at speed testing methodologies for automatic test pattern g...Loc, los and loes at speed testing methodologies for automatic test pattern g...
Loc, los and loes at speed testing methodologies for automatic test pattern g...
 
ASIC Design Fundamentals.pptx
ASIC Design Fundamentals.pptxASIC Design Fundamentals.pptx
ASIC Design Fundamentals.pptx
 
faults in digital systems
faults in digital systemsfaults in digital systems
faults in digital systems
 
Design of cmos based ring oscillator
Design of cmos based ring oscillatorDesign of cmos based ring oscillator
Design of cmos based ring oscillator
 
Wavelet Based on the Finding of Hard and Soft Faults in Analog and Digital Si...
Wavelet Based on the Finding of Hard and Soft Faults in Analog and Digital Si...Wavelet Based on the Finding of Hard and Soft Faults in Analog and Digital Si...
Wavelet Based on the Finding of Hard and Soft Faults in Analog and Digital Si...
 

Recently uploaded

Tutorial for 16S rRNA Gene Analysis with QIIME2.pdf
Tutorial for 16S rRNA Gene Analysis with QIIME2.pdfTutorial for 16S rRNA Gene Analysis with QIIME2.pdf
Tutorial for 16S rRNA Gene Analysis with QIIME2.pdf
aqil azizi
 
AKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdf
AKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdfAKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdf
AKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdf
SamSarthak3
 
Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...
Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...
Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...
AJAYKUMARPUND1
 
Top 10 Oil and Gas Projects in Saudi Arabia 2024.pdf
Top 10 Oil and Gas Projects in Saudi Arabia 2024.pdfTop 10 Oil and Gas Projects in Saudi Arabia 2024.pdf
Top 10 Oil and Gas Projects in Saudi Arabia 2024.pdf
Teleport Manpower Consultant
 
Fundamentals of Electric Drives and its applications.pptx
Fundamentals of Electric Drives and its applications.pptxFundamentals of Electric Drives and its applications.pptx
Fundamentals of Electric Drives and its applications.pptx
manasideore6
 
An Approach to Detecting Writing Styles Based on Clustering Techniques
An Approach to Detecting Writing Styles Based on Clustering TechniquesAn Approach to Detecting Writing Styles Based on Clustering Techniques
An Approach to Detecting Writing Styles Based on Clustering Techniques
ambekarshweta25
 
KuberTENes Birthday Bash Guadalajara - K8sGPT first impressions
KuberTENes Birthday Bash Guadalajara - K8sGPT first impressionsKuberTENes Birthday Bash Guadalajara - K8sGPT first impressions
KuberTENes Birthday Bash Guadalajara - K8sGPT first impressions
Victor Morales
 
Water billing management system project report.pdf
Water billing management system project report.pdfWater billing management system project report.pdf
Water billing management system project report.pdf
Kamal Acharya
 
Governing Equations for Fundamental Aerodynamics_Anderson2010.pdf
Governing Equations for Fundamental Aerodynamics_Anderson2010.pdfGoverning Equations for Fundamental Aerodynamics_Anderson2010.pdf
Governing Equations for Fundamental Aerodynamics_Anderson2010.pdf
WENKENLI1
 
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
Amil Baba Dawood bangali
 
Recycled Concrete Aggregate in Construction Part III
Recycled Concrete Aggregate in Construction Part IIIRecycled Concrete Aggregate in Construction Part III
Recycled Concrete Aggregate in Construction Part III
Aditya Rajan Patra
 
Planning Of Procurement o different goods and services
Planning Of Procurement o different goods and servicesPlanning Of Procurement o different goods and services
Planning Of Procurement o different goods and services
JoytuBarua2
 
RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...
RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...
RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...
thanhdowork
 
NUMERICAL SIMULATIONS OF HEAT AND MASS TRANSFER IN CONDENSING HEAT EXCHANGERS...
NUMERICAL SIMULATIONS OF HEAT AND MASS TRANSFER IN CONDENSING HEAT EXCHANGERS...NUMERICAL SIMULATIONS OF HEAT AND MASS TRANSFER IN CONDENSING HEAT EXCHANGERS...
NUMERICAL SIMULATIONS OF HEAT AND MASS TRANSFER IN CONDENSING HEAT EXCHANGERS...
ssuser7dcef0
 
DESIGN AND ANALYSIS OF A CAR SHOWROOM USING E TABS
DESIGN AND ANALYSIS OF A CAR SHOWROOM USING E TABSDESIGN AND ANALYSIS OF A CAR SHOWROOM USING E TABS
DESIGN AND ANALYSIS OF A CAR SHOWROOM USING E TABS
itech2017
 
Cosmetic shop management system project report.pdf
Cosmetic shop management system project report.pdfCosmetic shop management system project report.pdf
Cosmetic shop management system project report.pdf
Kamal Acharya
 
Technical Drawings introduction to drawing of prisms
Technical Drawings introduction to drawing of prismsTechnical Drawings introduction to drawing of prisms
Technical Drawings introduction to drawing of prisms
heavyhaig
 
Water Industry Process Automation and Control Monthly - May 2024.pdf
Water Industry Process Automation and Control Monthly - May 2024.pdfWater Industry Process Automation and Control Monthly - May 2024.pdf
Water Industry Process Automation and Control Monthly - May 2024.pdf
Water Industry Process Automation & Control
 
Student information management system project report ii.pdf
Student information management system project report ii.pdfStudent information management system project report ii.pdf
Student information management system project report ii.pdf
Kamal Acharya
 
一比一原版(IIT毕业证)伊利诺伊理工大学毕业证成绩单专业办理
一比一原版(IIT毕业证)伊利诺伊理工大学毕业证成绩单专业办理一比一原版(IIT毕业证)伊利诺伊理工大学毕业证成绩单专业办理
一比一原版(IIT毕业证)伊利诺伊理工大学毕业证成绩单专业办理
zwunae
 

Recently uploaded (20)

Tutorial for 16S rRNA Gene Analysis with QIIME2.pdf
Tutorial for 16S rRNA Gene Analysis with QIIME2.pdfTutorial for 16S rRNA Gene Analysis with QIIME2.pdf
Tutorial for 16S rRNA Gene Analysis with QIIME2.pdf
 
AKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdf
AKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdfAKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdf
AKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdf
 
Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...
Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...
Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...
 
Top 10 Oil and Gas Projects in Saudi Arabia 2024.pdf
Top 10 Oil and Gas Projects in Saudi Arabia 2024.pdfTop 10 Oil and Gas Projects in Saudi Arabia 2024.pdf
Top 10 Oil and Gas Projects in Saudi Arabia 2024.pdf
 
Fundamentals of Electric Drives and its applications.pptx
Fundamentals of Electric Drives and its applications.pptxFundamentals of Electric Drives and its applications.pptx
Fundamentals of Electric Drives and its applications.pptx
 
An Approach to Detecting Writing Styles Based on Clustering Techniques
An Approach to Detecting Writing Styles Based on Clustering TechniquesAn Approach to Detecting Writing Styles Based on Clustering Techniques
An Approach to Detecting Writing Styles Based on Clustering Techniques
 
KuberTENes Birthday Bash Guadalajara - K8sGPT first impressions
KuberTENes Birthday Bash Guadalajara - K8sGPT first impressionsKuberTENes Birthday Bash Guadalajara - K8sGPT first impressions
KuberTENes Birthday Bash Guadalajara - K8sGPT first impressions
 
Water billing management system project report.pdf
Water billing management system project report.pdfWater billing management system project report.pdf
Water billing management system project report.pdf
 
Governing Equations for Fundamental Aerodynamics_Anderson2010.pdf
Governing Equations for Fundamental Aerodynamics_Anderson2010.pdfGoverning Equations for Fundamental Aerodynamics_Anderson2010.pdf
Governing Equations for Fundamental Aerodynamics_Anderson2010.pdf
 
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
 
Recycled Concrete Aggregate in Construction Part III
Recycled Concrete Aggregate in Construction Part IIIRecycled Concrete Aggregate in Construction Part III
Recycled Concrete Aggregate in Construction Part III
 
Planning Of Procurement o different goods and services
Planning Of Procurement o different goods and servicesPlanning Of Procurement o different goods and services
Planning Of Procurement o different goods and services
 
RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...
RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...
RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...
 
NUMERICAL SIMULATIONS OF HEAT AND MASS TRANSFER IN CONDENSING HEAT EXCHANGERS...
NUMERICAL SIMULATIONS OF HEAT AND MASS TRANSFER IN CONDENSING HEAT EXCHANGERS...NUMERICAL SIMULATIONS OF HEAT AND MASS TRANSFER IN CONDENSING HEAT EXCHANGERS...
NUMERICAL SIMULATIONS OF HEAT AND MASS TRANSFER IN CONDENSING HEAT EXCHANGERS...
 
DESIGN AND ANALYSIS OF A CAR SHOWROOM USING E TABS
DESIGN AND ANALYSIS OF A CAR SHOWROOM USING E TABSDESIGN AND ANALYSIS OF A CAR SHOWROOM USING E TABS
DESIGN AND ANALYSIS OF A CAR SHOWROOM USING E TABS
 
Cosmetic shop management system project report.pdf
Cosmetic shop management system project report.pdfCosmetic shop management system project report.pdf
Cosmetic shop management system project report.pdf
 
Technical Drawings introduction to drawing of prisms
Technical Drawings introduction to drawing of prismsTechnical Drawings introduction to drawing of prisms
Technical Drawings introduction to drawing of prisms
 
Water Industry Process Automation and Control Monthly - May 2024.pdf
Water Industry Process Automation and Control Monthly - May 2024.pdfWater Industry Process Automation and Control Monthly - May 2024.pdf
Water Industry Process Automation and Control Monthly - May 2024.pdf
 
Student information management system project report ii.pdf
Student information management system project report ii.pdfStudent information management system project report ii.pdf
Student information management system project report ii.pdf
 
一比一原版(IIT毕业证)伊利诺伊理工大学毕业证成绩单专业办理
一比一原版(IIT毕业证)伊利诺伊理工大学毕业证成绩单专业办理一比一原版(IIT毕业证)伊利诺伊理工大学毕业证成绩单专业办理
一比一原版(IIT毕业证)伊利诺伊理工大学毕业证成绩单专业办理
 

01 Transition Fault Detection methods by Swetha

  • 1. Transition Fault Testing – Delay fault models Swetha Mettala Gilla Maseeh College of Engineering and Computer Science Portland State University Summer 2015 slide 1 of 63
  • 2. Book References [1] M. L. Bushnell and V. D. Agrawal “Chapter 12- Delay Test, Book -Essentials of Electronic Testing for Digital, Memory, and Mixed-Signal VLSI Circuits,” Springer, 2005. [2] M. Abramovici et al., “Digital Systems Testing and Testable Design,” IEEE 2009. [3] A. Krstic and K.T Cheng, “Delay Fault Testing for VLSI Circuits,” IEEE 1998. [4] S. K. Goel, “Testing for Small-Delay Defects in Nanoscale CMOS Integrated Circuits,” Taylor and Francis Group, 2013. [5] M. Tehranipoor et al., “Chapter 2: Delay Test, Book: Test and Diagnosis for Small-Delay Defects,” Springer, 2011.
  • 3. Defects and Faults • Defects? • Manufacturing defects • Resistive bridges, resistive opens etc. • certain manufacturing defects do not change the logic function but cause timing violations • Design Errors • Aggressive place and route • Geometry variations: Line spacing and line thickness • Process Variations • Gate threshold variations • Defect introduces a fault into the system. • Faults are classified as • Logical faults • Causes logic function of a circuit to change to some other logic function • Parametric fault • Alters the magnitude of a circuit parameter: speed, current or voltage • Delay fault is one of a parametric fault caused due to slow gates in the circuit and affects the operating speed of the system
  • 4. Delay Fault • Delay fault • affect the propagation delay of the circuit at high speed • defects that cause delay faults are: • Resistive shorting: defects between nodes and to the supply rails • Parasitic transistor leakages, defective pn junctions and incorrect or shifted threshold voltages • Certain types of opens • Process variations • Delay Faults in Asynchronous Circuits? • Asynchronous circuits obey certain timing constraints • Delay Fault in control path  may degrade the circuit performance • Delay Fault in data path  may violate the timing constraints and causes circuit to fail during normal operation.
  • 5. Delay Faults Affect propagation delay of the circuit •Circuit fails at high speeds More important for high-speed circuits Types of Delay Faults are: •Gate Delay Fault (GDF) • Delayed 1-to-0 or 0-to-1 transition at a gate output •Path Delay Fault (PDF) • Exists a path from a primary input to primary output is slow to propagate 0-to-1 or 1-to-0 transition • Number of paths is an exponential function of gates Graph
  • 6. Delay Fault Testing • Fault Models • Stuck-at fault test covers • Shorts and opens • Resistive shorts – Not covered • Delay fault test covers • Resistive opens and coupling faults • Resistive power supply lines • Process variations • Delay Fault Testing • Propagation delay of all paths in a circuit must be less than clock period for correct operation • Functional tests applied at operational speed of circuit are often used for delay faults • Scan based stuck-at tests are often applied at speed • However, functional and stuck-at testing even if done at-speed do not specifically target delay faults
  • 7. Transition Faults • Detection • Testing for gate delay faults require accounting for delay defect size. Ex: if the defect size at a circuit lead r is less than the slack of r, the fault may not be detected. • Slack of a circuit line is the difference between the period of the functional clock and the max delay of all paths through r. • Types of Gate Delay Faults • Gross gate delay fault (G-GDF): one gate delay defect size is greater than the system clock period • DFs in all paths going through faulty gate, hence catastrophic • Also called as transition fault • Small GDF (S-GDF): delay defect size is smaller than system clock period • Detectable if causes Path Delay Fault in at least one path through the gate We want to focus on Gross gate delay fault i.e. Transition fault
  • 8. • All input transitions occur at the same time in the figure below • The position of each output transition depends upon the delay of some input to output combinational path • The right edge of the output transition(red shaded region) is determined by the last transition • Delay of the longest combinational path activated by the current input vector • The delay of critical paths determines the smallest clock period at which the circuit can function correctly. Digital Circuit Timing
  • 9. Circuit Delays • Switching or inertial delay • Interval between input change and output change of a gate • Depends on input capacitance, device (transistor) characteristics and output capacitance of gate • Also depends on input rise or fall times and states of other inputs (second- order effects) • Approximation: fixed rise and fall delays (or min-max range delay) for gate output • Propagation delay or interconnect delay • Is the time a transition takes to travel between gates • Depends on transmission line effects (distributed R,L, C parameters, length, loading) of routing paths • Approximation: modeled as lumped delays for gate inputs
  • 10. Circuit Outputs • Input and output changes of a combinational logic are synchronized with clocks • Each path can potentially produce one signal transition at the output • The location of an output transition in time is determined by the delay of the path
  • 11. Delay Fault Models • Segment-delay Fault model • A segment of an I/O path is assumed to have large delay such that all paths containing the segment become faulty • Transition Fault model • A segment delay fault with segment of unit length (two faults per gate) • Slow-to-rise, slow-to-fall (we refer these as: delayed 0-to-1 and delayed 1-to-0) • Models spot delay defects • Gate-delay Fault model • A gate is assumed to have a delay increase of certain amount while other gates retain some nominal delays. Gate delay faults only of certain sizes may be detectable. • Path-delay Fault model • Two path delay faults for each physical path (distributed path faults) • Total number of path is an exponential function of gates • Line-delay Fault • A transition fault tested through the longest delay path. Two faults per line or gate. Tests are dependent on modeled delays of gates
  • 12. Transition Delay Fault • Transition fault (or Gross Gate Delay fault) • Even though the circuit doesn’t have a logical defect, it may have some physical defect such as a process variation and that creates a large enough gate delay to cause problems • Transition Fault model • Assumes that the delay fault affects only one gate in the circuit • Assumes the logic function of circuit under test (CUT) is error-free • Types of faults: delayed 0-to-1 & delayed 1-to-0  Fault at any node means the effect of any transition from 0 to 1 for delayed high (or 1 to 0 for delayed low) will not reach primary output within the stipulated time  extra delay (delay above the nominal delay) caused by the fault is assumed to be large enough to prevent the transition from reaching primary output at the time of observation • Advantages • the number of faults in the circuit increase linearly with the number of gates • Practically used: stuck-at fault CAD tools with minor modifications [Goel2013][Waicukauski1987]
  • 13. Testing for Transition Faults • Popular Scan Based Delay Fault Testing (from Bushnell) • Normal Scan Sequential Test (Transition Delay Test) • Enhanced Scan Test • Slow Clock Combinational Test • Variable-Clock Non-Scan Sequential Test • Rated-Clock Non-Scan Sequential Test
  • 14. Testing for Transition Faults • Popular Scan Based Delay Fault Testing (from Bushnell) • Normal Scan Sequential Test (Transition Delay Test) • The two popular methods: • Launch-off-capture (functional transition test) • Launch-off-shift (skewed load delay test) • Tested for delay faults but vector pairs must be specially generated • Both methods are used for path-delay and transition faults. • Enhanced Scan Test • Slow Clock Combinational Test • Variable-Clock Non-Scan Sequential Test • Rated-Clock Non-Scan Sequential Test
  • 15. Scan Based Delay Fault Testing Transition Delay Testing Normal Scan Test • Whole test operation is divided into three cycles  Initialization Cycle (IC) where the CUT is initialized to a particular state by applying V1  Launch Cycle (LC) where the CUT is a transition is launched at the target gate terminal by applying V2  Capture Cycle (CC) where the transition is propagated and captured at an observation point • for testing- we need a scan design and a launch setup • scan is used only to set the states. • Transition Test  Pattern Pair (V1, V2).  Pattern V1 is the initialization pattern  Pattern V2 is the launch pattern  Capture Result (capture response at- speed) • Scan Based Transition Test  Shift-in (initialization pattern).  Launch a transition  Capture result  Shift out contents Launch-off-shift (LOS) and launch-off- capture (LOC) are the two most widely used transition test methods
  • 16. Scan Based Delay Fault Testing Transition Delay Testing • Transition Test  Pattern Pair (V1, V2).  Pattern V1 is the initialization pattern  Pattern V2 is the launch pattern  Capture Result (capture response at- speed) • Scan Based Transition Test  Shift-in (initialization pattern).  Launch a transition  Capture result  Shift out contents Launch-off-shift (LOS) and launch-off- capture (LOC) are the two most widely used transition test methods Normal Scan Test • Apply a V1-> V2 transition at the inputs (PI/states) of a combinational circuit • Normal full-scan circuits • V1 states serially shifted in and V2 states are generated by • A) one-bit scan shift of V1 • B) apply V1 in a normal mode
  • 17. Testing for Transition Faults • Popular Scan Based Delay Fault Testing (from Bushnell) • Normal Scan Sequential Test (Transition Delay Test): • The two popular methods: • Launch-off-capture (functional transition test) • Launch-off-shift (skewed load delay test) • Enhanced Scan Test • Applicable to scan types of sequential circuits • Advantage: arbitrary vector pair can be applied • Uses hold latches and additional HOLD signal • Disadvantage: scan area overhead due to hold latch and also adds some delay in the signal path. • Slow Clock Combinational Test • Variable-Clock Non-Scan Sequential Test • Rated-Clock Non-Scan Sequential Test
  • 18. Scan Based Delay Fault Testing Enhanced Scan Test • Apply a transition at the primary inputs (PI/states) of a combinational circuit • Normal scan chain is enhanced by inserting hold latches and & hold signal • Generate any arbitrary pattern-pair Enhanced Scan Test- Steps  Portion of V1 is serially shifted in the scan register by setting TC= 0 and applying clock CK  Scanned V1 bits are transferred to hold latches by setting HOLD = 1, and also apply PI bits of V1  As signals stabilize due to V1, the state bits of V2 are scanned in  Simultaneously activation of HOLD (=1) and application of PI bits of V2 provides V1-> V2 transition  Set the circuit in normal mode (TC =1)  for exactly one rated-clock period, at the end of which the clock CK latches the combinational outputs in the FFs  Like normal scan, scan out the response can be overlapped with scan in of next vector
  • 19. Scan Based Delay Fault Testing Enhanced Scan Test Timing Diagram • The control input HOLD keeps the output steady at previous state of flip-flop • Why needed? • Reduce power dissipation during Scan • Isolate asynchronous parts during scan test
  • 20. Scan Based Delay Fault Testing Enhanced Scan Test- Steps  Portion of V1 is serially shifted in the scan register by setting TC= 0 and applying clock CK  Scanned V1 bits are transferred to hold latches by setting HOLD = 1, and also apply PI bits of V1  As signals stabilize due to V1, the state bits of V2 are scanned in  Simultaneously activation of HOLD (=1) and application of PI bits of V2 provides V1-> V2 transition  Set the circuit in normal mode (TC =1)  for exactly one rated-clock period, at the end of which the clock CK latches the combinational outputs in the FFs  Like normal scan, scan out the response can be overlapped with scan in of next vector Timing Diagram
  • 21. Normal Scan Test Normal Scan Test V2 states are generated by •(A) Shift in 1 bit after scan in of V1 in the following slow-clock cycle i.e. (test control TC= 0) •(B) V2 is the output of the combinational logic A: TC V2 By scan shift B: TC V2 By functional
  • 22. Normal Scan Test (Launch-off-shift) Launch-off-shift (LOS) Steps •Transition launched in last shift cycle •Scan enable must switch at-speed •Launch path is scan path more controllable •E.g.: V1 = 01000101 V2= 10100010
  • 23. Normal Scan Test (Launch-off-capture) Launch-off-capture (LOC) Steps •Transition launched from functional path •Scan enable doesn’t have to switch at-speed •Functional launch path - less controllable
  • 24. Testing for Transition Faults • Popular Scan Based Delay Fault Testing (from Bushnell) • Normal Scan Sequential Test (Transition Delay Test) • The two popular methods: • Launch-off-capture (functional transition test) • Launch-off-shift (skewed load delay test) • Enhanced Scan Test • Applicable to scan types of sequential circuits • Slow Clock Combinational Test • Applicable to combinational circuits or to those sequential circuits that are internally combinational with flip-flops only at PIs and POs • This method is useful when ATE cannot apply the vectors at rated speed • Variable-Clock Non-Scan Sequential Test • Rated-Clock Non-Scan Sequential Test
  • 26. Testing for Transition Faults • Popular Scan Based Delay Fault Testing (from Bushnell) • Normal Scan Sequential Test (Transition Delay Test): • The two popular methods: • Launch-off-capture (functional transition test) • Launch-off-shift (skewed load delay test) • Enhanced Scan Test • Applicable to scan types of sequential circuits • Slow Clock Combinational Test • Applicable to combinational circuits or to those sequential circuits that are internally combinational with flip-flops only at PIs and POs • Variable-Clock Non-Scan Sequential Test • Requires more than two vectors • Slow-clock prevents the delays in the circuit interfering with detection of the target fault • Since rated clock is used, other path delays can also affect the signals and the state FFs. • Rated-Clock Non-Scan Sequential Test
  • 28. Testing for Transition Faults • Popular Scan Based Delay Fault Testing (from Bushnell) • Normal Scan Sequential Test (Transition Delay Test): • The two popular methods: • Launch-off-capture (functional transition test) • Launch-off-shift (skewed load delay test) • Enhanced Scan Test • Applicable to scan types of sequential circuits • Slow Clock Combinational Test • Applicable to combinational circuits or to those sequential circuits that are internally combinational with flip-flops only at PIs and Pos • Variable-Clock Non-Scan Sequential Test • Requires more than two vectors • Rated-Clock Non-Scan Sequential Test • Most natural form of test. All vectors are applied at rated speed. A target delay fault can be activated several times • If robust detection is desired, one must consider all delay combinations that are potentially possible : PS I don’t have any example slide at the moment
  • 29. Other References [1] N. Ahmed et al, “Enhanced Launch-off-capture Transition Fault Testing,” IEC, pp. 279–289, 2005. [2] M. Roncken, “Defect-Oriented Testability for Asynchronous ICs,” In Proc. IEEE, vol. 87, no. 2, pp. 363–375, Feb.1999. [3] D. Vasudevan, “Automatic Test Pattern Generation for Asynchronous Circuits,” Dissertation, 2012. [4] S. Jayanthy et al, “Fuzzy Delay Model Based Fault Simulator for Crosstalk Delay Fault Test Generation in Asynchronous Sequential Circuits,” IAS, 2015. [5] M. Roncken et al, “Fsimac: A Fault Simulator for Asynchronous Sequential Circuits,” IEEE, 2000. [6] J. A. Waicukauski et al., “Transition Fault Simulation,” IEEE Design and Test, 1987.

Editor's Notes

  1. Silicon testing means Validating that the design on silicon works as expected. Why do we need Silicon test and debug ? We need it to detect catastrophic defects in the silicon end product and to analyze these defects when needed and when possible. This is different from simulation testing, because Silicon signals are not easy to access and often need special design for test features to make them accessible These special Design for test features are inserted prior to manufacturing, and make it possible to reduce the costs for test generation and test application Typical terms used in Testing are: Test generation - this is the creation of tests for debug or fault coverage Test coverage - this is the percentage of defects covered by your test and Defect model - this is the behavioral model of a defect,. As defect model we use the stuck at fault model. My work focuses on the Design for Test features that self-timed circuits need to enable good stuck-at fault coverage.
  2. Silicon testing means Validating that the design on silicon works as expected. Why do we need Silicon test and debug ? We need it to detect catastrophic defects in the silicon end product and to analyze these defects when needed and when possible. This is different from simulation testing, because Silicon signals are not easy to access and often need special design for test features to make them accessible These special Design for test features are inserted prior to manufacturing, and make it possible to reduce the costs for test generation and test application Typical terms used in Testing are: Test generation - this is the creation of tests for debug or fault coverage Test coverage - this is the percentage of defects covered by your test and Defect model - this is the behavioral model of a defect,. As defect model we use the stuck at fault model. My work focuses on the Design for Test features that self-timed circuits need to enable good stuck-at fault coverage.
  3. Silicon testing means Validating that the design on silicon works as expected. Why do we need Silicon test and debug ? We need it to detect catastrophic defects in the silicon end product and to analyze these defects when needed and when possible. This is different from simulation testing, because Silicon signals are not easy to access and often need special design for test features to make them accessible These special Design for test features are inserted prior to manufacturing, and make it possible to reduce the costs for test generation and test application Typical terms used in Testing are: Test generation - this is the creation of tests for debug or fault coverage Test coverage - this is the percentage of defects covered by your test and Defect model - this is the behavioral model of a defect,. As defect model we use the stuck at fault model. My work focuses on the Design for Test features that self-timed circuits need to enable good stuck-at fault coverage.