SlideShare a Scribd company logo
1 of 25
Discover the world at Leiden University
Discover the world at Leiden University
Design For Testability
Ahmed Abdelazeem
1
Discover the world at Leiden University
Index
1. Design For Test (DFT)
2. RTL Preparation for Scan Insertion
3. Scan Insertion using DC
4. Scan Insertion Violations
5. Introduction to At-Speed Testing
6. DFT Training Project
2
Discover the world at Leiden University
Chip Defects: They are Not My Fault!
3
My chip was well designed and
functionally correct. It simulated and
synthesized just fine, but when the chip
was manufactured, it didn’t work!
WHY?
.
Discover the world at Leiden University
Manufacturing Defects
4
 Silicon Defects
 Photolithography Defects
 Mask Contamination
 Process Variations
 Defective Oxide
Physical Defects
 Shorts (Bridging Faults)
 Opens
 Transistor Stuck On/Open
 Resistive Short/Open
 Changes in Threshold Voltage
Electrical Effects
 Logic Stuck-at-0/1
 Slower Transitions (Delay Fault)
 AND-bridging, OR-bridging
Logical Effects
Discover the world at Leiden University
Why Test for Manufacturing Defects?
5
• To detect manufacturing defects and reject those parts before
shipment
• To debug the manufacturing process
• To improve process yield
Discover the world at Leiden University
How is a Manufacturing Test Performed?
6
DUT
Inputs
Outputs
• Automatic Test Equipment (ATE) applies input stimulus to the Device Under
Test (DUT) and measures the output response
• If the ATE observes a response different from the expected response, the DUT
fails the manufacturing test
• The process of generating the input stimulus and corresponding output
response is known as Automated Test Pattern Generation (ATPG)
Discover the world at Leiden University
The Stuck-At Fault Model
7
Output
Stuck At
Logic 1
(SA1)
Input
Stuck At
Logic 0
(SA0)
Stuck-At Fault (SAF): A logical model representing the effects
of an underlying physical defect.
Discover the world at Leiden University
Algorithm for Detecting a SAF
8
U1
A
Z
B
C
D
SA0
If this SA0 fault is present
then U1/Y stays at logic 0
If not present, then U1/Y is
driven to its normal value
You can exploit this “either/or behavior” to detect the fault.
Discover the world at Leiden University
Controllability
9
U1
0
1/0
Is the ability to set internal nodes to a specific value.
Discover the world at Leiden University
Observability
10
Enabling Input
Observable
Discrepancy
0
U1
1
0
0
0
1/0
0/1
1/0
Is the ability to propagate the fault effect from an internal
node to a primary output port.
Discover the world at Leiden University
Fault Coverage
11
High fault coverage correlates to high defect coverage.
Fault coverage =
number of detectable faults
total number of possible faults
Discover the world at Leiden University
Testing a Multistage, Pipelined Design
12
Testing a multistage, pipelined design can create a lot of
complications for you.
Each fault tested requires a predictive means for both controlling
the input and observing the results downstream from the fault.
Test for SA0 fault here.
1
0
0
1
You need to set input pins to specific
values so that nets within pipeline can
be set to values which test for a fault.
Need to observe
results at the output
of the design.
Discover the world at Leiden University 13
Scan Chains Help
What effect will the mux and scan chain have on circuit timing?
Test for SA0 fault here.
1
0
0
1
Scan
Flip-Flop
Scan_In
Scan_Ena
• Inserting a scan chain involves replacing all Flip-Flops with scannable Flip-
Flops and serially “stitching” them up
• Scan chains allow data to be easily shifted in to control internal nets
(controllability), and shifted out to observe internal faults (observability)
Discover the world at Leiden University
Inaccuracy Due to Scan Replacements
14
Non-Scan
Register
Multiplexed Scan Register Chain
Additional fanout and
capacitive loading
DO
TI
DI
TE
CLK
0
1
1
0
CLK
Larger setup time
requirement
Larger area than non-scan registers;
optimistic wire load model selection
TI
DI
If you plan to include internal scan, you must account for the
impact of scan registers on a design early in the design cycle.
Discover the world at Leiden University
Solution: Test-Ready Synthesis
15
 Accurate area, timing, and loading
modeled up front
 Easier synthesis flow -- scan cell
insertion performed in one compilation
step
DO
DI
TI
1
0
TO
Scan Register Used During Initial Compile
Benefits
• Regular registers are replaced with scannable ones, but not chained or
“stitched”
• Include the scan style in the constraint script file:
- set_scan_configuration -style <multiplexed_flip_flop |
clocked_scan | lssd | aux_clock_lssd>
• Execute test-ready compile:
- compile –scan or compile_ultra -scan
Discover the world at Leiden University
Result of Test-Ready Compile: Example
16
compile ... -scan
D
TI
TE
Q
“0”
“0”
A
B
CLK
D
E
Scan cells inserted during compile
D
TI
TE
Q
Loading effect of scan chain modeled
Design is now
ready to be
stitched
Discover the world at Leiden University
Stitching up the Scan Chain
17
insert_dft
D
TI
TE
Q
A
B
CLK
D
E
Scan cells are stitched together to form a scan chain
D
TI
TE
Q
Additional test input ports (TE, TI) are created if needed
TI
TE
Discover the world at Leiden University
What does insert_dft do?
18
• Replaces nonscan flip-flops if needed
• Creates test access ports (TE, TI) if needed
• Stitches access ports and scan cells together
• Can add logic to increase fault coverage:
- Enforces single-tristate-driver rule
- Fixes uncontrollable clocks and resets
- Maintains bidirectional paths during scan-shift cycles
• Performs an incremental compile to fix timing and DRC violations
• With DFTMAX: Inserts compression/decompression logic to reduce tester time
- Reduces the size of the test patterns for a given number of
ports
Discover the world at Leiden University
Potential Scan-Shift Issues
19
Design scenarios affecting risk-free scan include:
• No clock pulse at scan flop due to gating of clock
• No clock pulse due to dividing down of the clock
• Unexpected asynchronous reset asserted at flop
The ATE must fully control the clock and asynchronous
set and reset lines reaching all the scan-path flip-flops.
! DFT Rule of Thumb:
Discover the world at Leiden University
Example: Unexpected Asynchronous Reset
20
RSTn
F4
F2 F3
Reset
Synchronizer
D Q
F0
SE
D Q
F1
SE
• An unexpected reset signal from F1 during scan shifting would over-
ride the scan data that you are trying to control or observe,
therefore…
• All affected flip-flops are excluded from scan chains
• This reduces the fault coverage – not good!!
Internal Reset Line
Uncontrolled During Scan
Discover the world at Leiden University
Report test violations: dft_drc
21
dc_shell> dft_drc
Warning: Asynchronous pins of cell F2_reg (fdf2a3) are
uncontrollable. (TEST-116)
Information: The network contains: F2_reg/CLR, rst_int_reg/Q.
(TEST-281)
Information: There are 479 other cells with the same violation.
(TEST-171)
...
PROTOCOL VIOLATIONS
480 Asynchronous pins uncontrollable violations (TEST-116)
...
SEQUENTIAL CELLS WITH VIOLATIONS
* 480 cells are black boxes
...
How may we fix this problem?
Discover the world at Leiden University
Internal Asynchronous Reset Solution
22
ASIC_TEST
RSTn
Reset
Synchronizer
D Q
F0
SE
D Q
F1
SE
D Q
F2
SE
. . .
D Q
F3
SE
0
1
This solution offers higher coverage — for more DFT effort.
Internal Reset Line
Inactive During Scan
insert_dft can do this
automatically!
Discover the world at Leiden University
Preview Test Coverage
23
dc_shell-t-xg> dft_drc -coverage
...
17378 faults were added to fault list.
ATPG performed for stuck fault model using internal pattern source.
...
Uncollapsed Stuck Fault Summary Report
-----------------------------------------------
fault class code #faults
------------------------------ ---- ---------
Detected DT 17352
Possibly detected PT 0
Undetectable UD 23
ATPG untestable AU 0
Not detected ND 3
-----------------------------------------------
total faults 17378
test coverage 99.98%
-----------------------------------------------
dft_drc -coverage estimates the test coverage using the TetraMAX ATPG
engine.
Discover the world at Leiden University
Test For Understanding
24
1. A high fault coverage percentage is bad because this means your design has many
faults. T or F?
2. The compile –scan command does not perform scan chain stitching. T or F?
3. DFT Compiler can add correcting logic to fix timing violations. T or F?
4. insert_dft can fix timing and DRC violations by default. T or F?
Discover the world at Leiden University
Discover the world at Leiden University
Thank You 
25

More Related Content

What's hot

Timing closure document
Timing closure documentTiming closure document
Timing closure documentAlan Tran
 
Formal Verification - Formality.pdf
Formal Verification - Formality.pdfFormal Verification - Formality.pdf
Formal Verification - Formality.pdfAhmed Abdelazeem
 
Design-for-Test (Testing of VLSI Design)
Design-for-Test (Testing of VLSI Design)Design-for-Test (Testing of VLSI Design)
Design-for-Test (Testing of VLSI Design)Usha Mehta
 
VLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyVLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyMurali Rai
 
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation SystemSynopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation SystemMostafa Khamis
 
Deterministic Test Pattern Generation ( D-Algorithm of ATPG) (Testing of VLSI...
Deterministic Test Pattern Generation ( D-Algorithm of ATPG) (Testing of VLSI...Deterministic Test Pattern Generation ( D-Algorithm of ATPG) (Testing of VLSI...
Deterministic Test Pattern Generation ( D-Algorithm of ATPG) (Testing of VLSI...Usha Mehta
 
Logic synthesis with synopsys design compiler
Logic synthesis with synopsys design compilerLogic synthesis with synopsys design compiler
Logic synthesis with synopsys design compilernaeemtayyab
 
Timing and Design Closure in Physical Design Flows
Timing and Design Closure in Physical Design Flows Timing and Design Closure in Physical Design Flows
Timing and Design Closure in Physical Design Flows Olivier Coudert
 
Clock Tree Timing 101
Clock Tree Timing 101Clock Tree Timing 101
Clock Tree Timing 101Silicon Labs
 
VLSI Physical Design Flow(http://www.vlsisystemdesign.com)
VLSI Physical Design Flow(http://www.vlsisystemdesign.com)VLSI Physical Design Flow(http://www.vlsisystemdesign.com)
VLSI Physical Design Flow(http://www.vlsisystemdesign.com)VLSI SYSTEM Design
 
Vlsi interview questions compilation
Vlsi interview questions compilationVlsi interview questions compilation
Vlsi interview questions compilationRajesh M
 
ASIC Design Flow | Physical Design | VLSI
ASIC Design Flow | Physical Design | VLSI ASIC Design Flow | Physical Design | VLSI
ASIC Design Flow | Physical Design | VLSI Jayant Suthar
 
ATPG Methods and Algorithms
ATPG Methods and AlgorithmsATPG Methods and Algorithms
ATPG Methods and AlgorithmsDeiptii Das
 

What's hot (20)

Clock Tree Synthesis.pdf
Clock Tree Synthesis.pdfClock Tree Synthesis.pdf
Clock Tree Synthesis.pdf
 
ASIC_Design.pdf
ASIC_Design.pdfASIC_Design.pdf
ASIC_Design.pdf
 
Timing closure document
Timing closure documentTiming closure document
Timing closure document
 
Formal Verification - Formality.pdf
Formal Verification - Formality.pdfFormal Verification - Formality.pdf
Formal Verification - Formality.pdf
 
Design-for-Test (Testing of VLSI Design)
Design-for-Test (Testing of VLSI Design)Design-for-Test (Testing of VLSI Design)
Design-for-Test (Testing of VLSI Design)
 
3. Synthesis.pptx
3. Synthesis.pptx3. Synthesis.pptx
3. Synthesis.pptx
 
GUI for DRV fix in ICC2
GUI for DRV fix in ICC2GUI for DRV fix in ICC2
GUI for DRV fix in ICC2
 
VLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyVLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool Terminalogy
 
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation SystemSynopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
 
Deterministic Test Pattern Generation ( D-Algorithm of ATPG) (Testing of VLSI...
Deterministic Test Pattern Generation ( D-Algorithm of ATPG) (Testing of VLSI...Deterministic Test Pattern Generation ( D-Algorithm of ATPG) (Testing of VLSI...
Deterministic Test Pattern Generation ( D-Algorithm of ATPG) (Testing of VLSI...
 
Logic synthesis with synopsys design compiler
Logic synthesis with synopsys design compilerLogic synthesis with synopsys design compiler
Logic synthesis with synopsys design compiler
 
Timing and Design Closure in Physical Design Flows
Timing and Design Closure in Physical Design Flows Timing and Design Closure in Physical Design Flows
Timing and Design Closure in Physical Design Flows
 
Transition fault detection
Transition fault detectionTransition fault detection
Transition fault detection
 
Clock Tree Timing 101
Clock Tree Timing 101Clock Tree Timing 101
Clock Tree Timing 101
 
VLSI Physical Design Flow(http://www.vlsisystemdesign.com)
VLSI Physical Design Flow(http://www.vlsisystemdesign.com)VLSI Physical Design Flow(http://www.vlsisystemdesign.com)
VLSI Physical Design Flow(http://www.vlsisystemdesign.com)
 
Vlsi interview questions compilation
Vlsi interview questions compilationVlsi interview questions compilation
Vlsi interview questions compilation
 
Floorplanning.pdf
Floorplanning.pdfFloorplanning.pdf
Floorplanning.pdf
 
ASIC Design Flow | Physical Design | VLSI
ASIC Design Flow | Physical Design | VLSI ASIC Design Flow | Physical Design | VLSI
ASIC Design Flow | Physical Design | VLSI
 
ATPG Methods and Algorithms
ATPG Methods and AlgorithmsATPG Methods and Algorithms
ATPG Methods and Algorithms
 
Pd flow i
Pd flow iPd flow i
Pd flow i
 

Similar to 5. DFT.pptx

Design for testability and automatic test pattern generation
Design for testability and automatic test pattern generationDesign for testability and automatic test pattern generation
Design for testability and automatic test pattern generationDilip Mathuria
 
Module5 Testing and Verification.pdf
Module5 Testing and Verification.pdfModule5 Testing and Verification.pdf
Module5 Testing and Verification.pdfBhavanaHN5
 
testpattern.ppt
testpattern.ppttestpattern.ppt
testpattern.pptthiru17270
 
Realise the Value in modern Data Center Infrastructure
Realise the Value in modern Data Center InfrastructureRealise the Value in modern Data Center Infrastructure
Realise the Value in modern Data Center InfrastructurePanduit
 
Test pattern Generation for 4:1 MUX
Test pattern Generation for 4:1 MUXTest pattern Generation for 4:1 MUX
Test pattern Generation for 4:1 MUXUrmilasSrinivasan
 
Challenges in Assessing Single Event Upset Impact on Processor Systems
Challenges in Assessing Single Event Upset Impact on Processor SystemsChallenges in Assessing Single Event Upset Impact on Processor Systems
Challenges in Assessing Single Event Upset Impact on Processor SystemsWojciech Koszek
 
Boundary scan for support engineers and technicians
Boundary scan for support engineers and techniciansBoundary scan for support engineers and technicians
Boundary scan for support engineers and techniciansInterlatin
 
Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...
Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...
Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...IRJET Journal
 
Dealing with the Three Horrible Problems in Verification
Dealing with the Three Horrible Problems in VerificationDealing with the Three Horrible Problems in Verification
Dealing with the Three Horrible Problems in VerificationDVClub
 
UGM 2015: X1149 workshop
UGM 2015: X1149 workshopUGM 2015: X1149 workshop
UGM 2015: X1149 workshopInterlatin
 
Unit 3 testing of logic circuits
Unit 3 testing of logic circuitsUnit 3 testing of logic circuits
Unit 3 testing of logic circuitsswagatkarve
 
Design for Testability in Timely Testing of Vlsi Circuits
Design for Testability in Timely Testing of Vlsi CircuitsDesign for Testability in Timely Testing of Vlsi Circuits
Design for Testability in Timely Testing of Vlsi CircuitsIJERA Editor
 
L1_Introduction.ppt
L1_Introduction.pptL1_Introduction.ppt
L1_Introduction.pptVarsha506533
 
Physical design-complete
Physical design-completePhysical design-complete
Physical design-completeMurali Rai
 

Similar to 5. DFT.pptx (20)

Design for testability and automatic test pattern generation
Design for testability and automatic test pattern generationDesign for testability and automatic test pattern generation
Design for testability and automatic test pattern generation
 
Module5 Testing and Verification.pdf
Module5 Testing and Verification.pdfModule5 Testing and Verification.pdf
Module5 Testing and Verification.pdf
 
testpattern.ppt
testpattern.ppttestpattern.ppt
testpattern.ppt
 
02~chapter 01 intro.ppt
02~chapter 01 intro.ppt02~chapter 01 intro.ppt
02~chapter 01 intro.ppt
 
Realise the Value in modern Data Center Infrastructure
Realise the Value in modern Data Center InfrastructureRealise the Value in modern Data Center Infrastructure
Realise the Value in modern Data Center Infrastructure
 
Test pattern Generation for 4:1 MUX
Test pattern Generation for 4:1 MUXTest pattern Generation for 4:1 MUX
Test pattern Generation for 4:1 MUX
 
ZERO WIRE LOAD MODEL.pptx
ZERO WIRE LOAD MODEL.pptxZERO WIRE LOAD MODEL.pptx
ZERO WIRE LOAD MODEL.pptx
 
lect18.pdf
lect18.pdflect18.pdf
lect18.pdf
 
Design for Testability
Design for Testability Design for Testability
Design for Testability
 
Challenges in Assessing Single Event Upset Impact on Processor Systems
Challenges in Assessing Single Event Upset Impact on Processor SystemsChallenges in Assessing Single Event Upset Impact on Processor Systems
Challenges in Assessing Single Event Upset Impact on Processor Systems
 
Boundary scan for support engineers and technicians
Boundary scan for support engineers and techniciansBoundary scan for support engineers and technicians
Boundary scan for support engineers and technicians
 
Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...
Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...
Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...
 
1.Week1.pptx
1.Week1.pptx1.Week1.pptx
1.Week1.pptx
 
Dealing with the Three Horrible Problems in Verification
Dealing with the Three Horrible Problems in VerificationDealing with the Three Horrible Problems in Verification
Dealing with the Three Horrible Problems in Verification
 
Dill may-2008
Dill may-2008Dill may-2008
Dill may-2008
 
UGM 2015: X1149 workshop
UGM 2015: X1149 workshopUGM 2015: X1149 workshop
UGM 2015: X1149 workshop
 
Unit 3 testing of logic circuits
Unit 3 testing of logic circuitsUnit 3 testing of logic circuits
Unit 3 testing of logic circuits
 
Design for Testability in Timely Testing of Vlsi Circuits
Design for Testability in Timely Testing of Vlsi CircuitsDesign for Testability in Timely Testing of Vlsi Circuits
Design for Testability in Timely Testing of Vlsi Circuits
 
L1_Introduction.ppt
L1_Introduction.pptL1_Introduction.ppt
L1_Introduction.ppt
 
Physical design-complete
Physical design-completePhysical design-complete
Physical design-complete
 

More from Ahmed Abdelazeem

More from Ahmed Abdelazeem (20)

10. Signoff.pdf
10. Signoff.pdf10. Signoff.pdf
10. Signoff.pdf
 
9. Routing.pdf
9. Routing.pdf9. Routing.pdf
9. Routing.pdf
 
8. Clock Tree Synthesis.pdf
8. Clock Tree Synthesis.pdf8. Clock Tree Synthesis.pdf
8. Clock Tree Synthesis.pdf
 
7. Placement.pdf
7. Placement.pdf7. Placement.pdf
7. Placement.pdf
 
6. Design Planning.pdf
6. Design Planning.pdf6. Design Planning.pdf
6. Design Planning.pdf
 
5. Data and Timing Setup Introduction.pdf
5. Data and Timing Setup Introduction.pdf5. Data and Timing Setup Introduction.pdf
5. Data and Timing Setup Introduction.pdf
 
What is the Difference Between the target_library and link_library Variables?
What is the Difference Between the target_library and link_library Variables?What is the Difference Between the target_library and link_library Variables?
What is the Difference Between the target_library and link_library Variables?
 
Physical Implementation: I/O Pad Insertion for Innovus.pdf
Physical Implementation: I/O Pad Insertion for Innovus.pdfPhysical Implementation: I/O Pad Insertion for Innovus.pdf
Physical Implementation: I/O Pad Insertion for Innovus.pdf
 
Learn Makefiles With the tastiest examples
Learn Makefiles With the tastiest examplesLearn Makefiles With the tastiest examples
Learn Makefiles With the tastiest examples
 
ready_valid_interface.pdf
ready_valid_interface.pdfready_valid_interface.pdf
ready_valid_interface.pdf
 
wire vs. reg.pdf
wire vs. reg.pdfwire vs. reg.pdf
wire vs. reg.pdf
 
verilog_fsm.pdf
verilog_fsm.pdfverilog_fsm.pdf
verilog_fsm.pdf
 
always_at_blocks.pdf
always_at_blocks.pdfalways_at_blocks.pdf
always_at_blocks.pdf
 
4. Formal Equivalence Checking (Formality).pptx
4. Formal Equivalence Checking (Formality).pptx4. Formal Equivalence Checking (Formality).pptx
4. Formal Equivalence Checking (Formality).pptx
 
Routing.pdf
Routing.pdfRouting.pdf
Routing.pdf
 
Placement.pdf
Placement.pdfPlacement.pdf
Placement.pdf
 
Standard-Cells.pdf
Standard-Cells.pdfStandard-Cells.pdf
Standard-Cells.pdf
 
PowerPlanning.pdf
PowerPlanning.pdfPowerPlanning.pdf
PowerPlanning.pdf
 
EMIR.pdf
EMIR.pdfEMIR.pdf
EMIR.pdf
 
Crosstalk.pdf
Crosstalk.pdfCrosstalk.pdf
Crosstalk.pdf
 

Recently uploaded

Call Girls in Nagpur Bhavna Call 7001035870 Meet With Nagpur Escorts
Call Girls in Nagpur Bhavna Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur Bhavna Call 7001035870 Meet With Nagpur Escorts
Call Girls in Nagpur Bhavna Call 7001035870 Meet With Nagpur Escortsranjana rawat
 
Call Girls Delhi {Rs-10000 Laxmi Nagar] 9711199012 Whats Up Number
Call Girls Delhi {Rs-10000 Laxmi Nagar] 9711199012 Whats Up NumberCall Girls Delhi {Rs-10000 Laxmi Nagar] 9711199012 Whats Up Number
Call Girls Delhi {Rs-10000 Laxmi Nagar] 9711199012 Whats Up NumberMs Riya
 
原版1:1复刻斯坦福大学毕业证Stanford毕业证留信学历认证
原版1:1复刻斯坦福大学毕业证Stanford毕业证留信学历认证原版1:1复刻斯坦福大学毕业证Stanford毕业证留信学历认证
原版1:1复刻斯坦福大学毕业证Stanford毕业证留信学历认证gwhohjj
 
(办理学位证)多伦多大学毕业证成绩单原版一比一
(办理学位证)多伦多大学毕业证成绩单原版一比一(办理学位证)多伦多大学毕业证成绩单原版一比一
(办理学位证)多伦多大学毕业证成绩单原版一比一C SSS
 
如何办理(Adelaide毕业证)阿德莱德大学毕业证成绩单Adelaide学历认证真实可查
如何办理(Adelaide毕业证)阿德莱德大学毕业证成绩单Adelaide学历认证真实可查如何办理(Adelaide毕业证)阿德莱德大学毕业证成绩单Adelaide学历认证真实可查
如何办理(Adelaide毕业证)阿德莱德大学毕业证成绩单Adelaide学历认证真实可查awo24iot
 
如何办理(NUS毕业证书)新加坡国立大学毕业证成绩单留信学历认证原版一比一
如何办理(NUS毕业证书)新加坡国立大学毕业证成绩单留信学历认证原版一比一如何办理(NUS毕业证书)新加坡国立大学毕业证成绩单留信学历认证原版一比一
如何办理(NUS毕业证书)新加坡国立大学毕业证成绩单留信学历认证原版一比一ga6c6bdl
 
Papular No 1 Online Istikhara Amil Baba Pakistan Amil Baba In Karachi Amil B...
Papular No 1 Online Istikhara Amil Baba Pakistan  Amil Baba In Karachi Amil B...Papular No 1 Online Istikhara Amil Baba Pakistan  Amil Baba In Karachi Amil B...
Papular No 1 Online Istikhara Amil Baba Pakistan Amil Baba In Karachi Amil B...Authentic No 1 Amil Baba In Pakistan
 
Vip Noida Escorts 9873940964 Greater Noida Escorts Service
Vip Noida Escorts 9873940964 Greater Noida Escorts ServiceVip Noida Escorts 9873940964 Greater Noida Escorts Service
Vip Noida Escorts 9873940964 Greater Noida Escorts Serviceankitnayak356677
 
定制宾州州立大学毕业证(PSU毕业证) 成绩单留信学历认证原版一比一
定制宾州州立大学毕业证(PSU毕业证) 成绩单留信学历认证原版一比一定制宾州州立大学毕业证(PSU毕业证) 成绩单留信学历认证原版一比一
定制宾州州立大学毕业证(PSU毕业证) 成绩单留信学历认证原版一比一ga6c6bdl
 
Call Girls In Munirka>༒9599632723 Incall_OutCall Available
Call Girls In Munirka>༒9599632723 Incall_OutCall AvailableCall Girls In Munirka>༒9599632723 Incall_OutCall Available
Call Girls In Munirka>༒9599632723 Incall_OutCall AvailableCall Girls in Delhi
 
Call Girls Service Kolkata Aishwarya 🤌 8250192130 🚀 Vip Call Girls Kolkata
Call Girls Service Kolkata Aishwarya 🤌  8250192130 🚀 Vip Call Girls KolkataCall Girls Service Kolkata Aishwarya 🤌  8250192130 🚀 Vip Call Girls Kolkata
Call Girls Service Kolkata Aishwarya 🤌 8250192130 🚀 Vip Call Girls Kolkataanamikaraghav4
 
Presentation.pptxjnfoigneoifnvoeifnvklfnvf
Presentation.pptxjnfoigneoifnvoeifnvklfnvfPresentation.pptxjnfoigneoifnvoeifnvklfnvf
Presentation.pptxjnfoigneoifnvoeifnvklfnvfchapmanellie27
 
定制(Salford学位证)索尔福德大学毕业证成绩单原版一比一
定制(Salford学位证)索尔福德大学毕业证成绩单原版一比一定制(Salford学位证)索尔福德大学毕业证成绩单原版一比一
定制(Salford学位证)索尔福德大学毕业证成绩单原版一比一ss ss
 
定制(RHUL学位证)伦敦大学皇家霍洛威学院毕业证成绩单原版一比一
定制(RHUL学位证)伦敦大学皇家霍洛威学院毕业证成绩单原版一比一定制(RHUL学位证)伦敦大学皇家霍洛威学院毕业证成绩单原版一比一
定制(RHUL学位证)伦敦大学皇家霍洛威学院毕业证成绩单原版一比一ss ss
 
定制加拿大滑铁卢大学毕业证(Waterloo毕业证书)成绩单(文凭)原版一比一
定制加拿大滑铁卢大学毕业证(Waterloo毕业证书)成绩单(文凭)原版一比一定制加拿大滑铁卢大学毕业证(Waterloo毕业证书)成绩单(文凭)原版一比一
定制加拿大滑铁卢大学毕业证(Waterloo毕业证书)成绩单(文凭)原版一比一zul5vf0pq
 
Hifi Defence Colony Call Girls Service WhatsApp -> 9999965857 Available 24x7 ...
Hifi Defence Colony Call Girls Service WhatsApp -> 9999965857 Available 24x7 ...Hifi Defence Colony Call Girls Service WhatsApp -> 9999965857 Available 24x7 ...
Hifi Defence Colony Call Girls Service WhatsApp -> 9999965857 Available 24x7 ...srsj9000
 
vip Model Basti Call Girls 9999965857 Call or WhatsApp Now Book
vip Model Basti Call Girls 9999965857 Call or WhatsApp Now Bookvip Model Basti Call Girls 9999965857 Call or WhatsApp Now Book
vip Model Basti Call Girls 9999965857 Call or WhatsApp Now Bookmanojkuma9823
 
定制(USF学位证)旧金山大学毕业证成绩单原版一比一
定制(USF学位证)旧金山大学毕业证成绩单原版一比一定制(USF学位证)旧金山大学毕业证成绩单原版一比一
定制(USF学位证)旧金山大学毕业证成绩单原版一比一ss ss
 

Recently uploaded (20)

Low rate Call girls in Delhi Justdial | 9953330565
Low rate Call girls in Delhi Justdial | 9953330565Low rate Call girls in Delhi Justdial | 9953330565
Low rate Call girls in Delhi Justdial | 9953330565
 
Call Girls in Nagpur Bhavna Call 7001035870 Meet With Nagpur Escorts
Call Girls in Nagpur Bhavna Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur Bhavna Call 7001035870 Meet With Nagpur Escorts
Call Girls in Nagpur Bhavna Call 7001035870 Meet With Nagpur Escorts
 
Call Girls Delhi {Rs-10000 Laxmi Nagar] 9711199012 Whats Up Number
Call Girls Delhi {Rs-10000 Laxmi Nagar] 9711199012 Whats Up NumberCall Girls Delhi {Rs-10000 Laxmi Nagar] 9711199012 Whats Up Number
Call Girls Delhi {Rs-10000 Laxmi Nagar] 9711199012 Whats Up Number
 
原版1:1复刻斯坦福大学毕业证Stanford毕业证留信学历认证
原版1:1复刻斯坦福大学毕业证Stanford毕业证留信学历认证原版1:1复刻斯坦福大学毕业证Stanford毕业证留信学历认证
原版1:1复刻斯坦福大学毕业证Stanford毕业证留信学历认证
 
9953330565 Low Rate Call Girls In Jahangirpuri Delhi NCR
9953330565 Low Rate Call Girls In Jahangirpuri  Delhi NCR9953330565 Low Rate Call Girls In Jahangirpuri  Delhi NCR
9953330565 Low Rate Call Girls In Jahangirpuri Delhi NCR
 
(办理学位证)多伦多大学毕业证成绩单原版一比一
(办理学位证)多伦多大学毕业证成绩单原版一比一(办理学位证)多伦多大学毕业证成绩单原版一比一
(办理学位证)多伦多大学毕业证成绩单原版一比一
 
如何办理(Adelaide毕业证)阿德莱德大学毕业证成绩单Adelaide学历认证真实可查
如何办理(Adelaide毕业证)阿德莱德大学毕业证成绩单Adelaide学历认证真实可查如何办理(Adelaide毕业证)阿德莱德大学毕业证成绩单Adelaide学历认证真实可查
如何办理(Adelaide毕业证)阿德莱德大学毕业证成绩单Adelaide学历认证真实可查
 
如何办理(NUS毕业证书)新加坡国立大学毕业证成绩单留信学历认证原版一比一
如何办理(NUS毕业证书)新加坡国立大学毕业证成绩单留信学历认证原版一比一如何办理(NUS毕业证书)新加坡国立大学毕业证成绩单留信学历认证原版一比一
如何办理(NUS毕业证书)新加坡国立大学毕业证成绩单留信学历认证原版一比一
 
Papular No 1 Online Istikhara Amil Baba Pakistan Amil Baba In Karachi Amil B...
Papular No 1 Online Istikhara Amil Baba Pakistan  Amil Baba In Karachi Amil B...Papular No 1 Online Istikhara Amil Baba Pakistan  Amil Baba In Karachi Amil B...
Papular No 1 Online Istikhara Amil Baba Pakistan Amil Baba In Karachi Amil B...
 
Vip Noida Escorts 9873940964 Greater Noida Escorts Service
Vip Noida Escorts 9873940964 Greater Noida Escorts ServiceVip Noida Escorts 9873940964 Greater Noida Escorts Service
Vip Noida Escorts 9873940964 Greater Noida Escorts Service
 
定制宾州州立大学毕业证(PSU毕业证) 成绩单留信学历认证原版一比一
定制宾州州立大学毕业证(PSU毕业证) 成绩单留信学历认证原版一比一定制宾州州立大学毕业证(PSU毕业证) 成绩单留信学历认证原版一比一
定制宾州州立大学毕业证(PSU毕业证) 成绩单留信学历认证原版一比一
 
Call Girls In Munirka>༒9599632723 Incall_OutCall Available
Call Girls In Munirka>༒9599632723 Incall_OutCall AvailableCall Girls In Munirka>༒9599632723 Incall_OutCall Available
Call Girls In Munirka>༒9599632723 Incall_OutCall Available
 
Call Girls Service Kolkata Aishwarya 🤌 8250192130 🚀 Vip Call Girls Kolkata
Call Girls Service Kolkata Aishwarya 🤌  8250192130 🚀 Vip Call Girls KolkataCall Girls Service Kolkata Aishwarya 🤌  8250192130 🚀 Vip Call Girls Kolkata
Call Girls Service Kolkata Aishwarya 🤌 8250192130 🚀 Vip Call Girls Kolkata
 
Presentation.pptxjnfoigneoifnvoeifnvklfnvf
Presentation.pptxjnfoigneoifnvoeifnvklfnvfPresentation.pptxjnfoigneoifnvoeifnvklfnvf
Presentation.pptxjnfoigneoifnvoeifnvklfnvf
 
定制(Salford学位证)索尔福德大学毕业证成绩单原版一比一
定制(Salford学位证)索尔福德大学毕业证成绩单原版一比一定制(Salford学位证)索尔福德大学毕业证成绩单原版一比一
定制(Salford学位证)索尔福德大学毕业证成绩单原版一比一
 
定制(RHUL学位证)伦敦大学皇家霍洛威学院毕业证成绩单原版一比一
定制(RHUL学位证)伦敦大学皇家霍洛威学院毕业证成绩单原版一比一定制(RHUL学位证)伦敦大学皇家霍洛威学院毕业证成绩单原版一比一
定制(RHUL学位证)伦敦大学皇家霍洛威学院毕业证成绩单原版一比一
 
定制加拿大滑铁卢大学毕业证(Waterloo毕业证书)成绩单(文凭)原版一比一
定制加拿大滑铁卢大学毕业证(Waterloo毕业证书)成绩单(文凭)原版一比一定制加拿大滑铁卢大学毕业证(Waterloo毕业证书)成绩单(文凭)原版一比一
定制加拿大滑铁卢大学毕业证(Waterloo毕业证书)成绩单(文凭)原版一比一
 
Hifi Defence Colony Call Girls Service WhatsApp -> 9999965857 Available 24x7 ...
Hifi Defence Colony Call Girls Service WhatsApp -> 9999965857 Available 24x7 ...Hifi Defence Colony Call Girls Service WhatsApp -> 9999965857 Available 24x7 ...
Hifi Defence Colony Call Girls Service WhatsApp -> 9999965857 Available 24x7 ...
 
vip Model Basti Call Girls 9999965857 Call or WhatsApp Now Book
vip Model Basti Call Girls 9999965857 Call or WhatsApp Now Bookvip Model Basti Call Girls 9999965857 Call or WhatsApp Now Book
vip Model Basti Call Girls 9999965857 Call or WhatsApp Now Book
 
定制(USF学位证)旧金山大学毕业证成绩单原版一比一
定制(USF学位证)旧金山大学毕业证成绩单原版一比一定制(USF学位证)旧金山大学毕业证成绩单原版一比一
定制(USF学位证)旧金山大学毕业证成绩单原版一比一
 

5. DFT.pptx

  • 1. Discover the world at Leiden University Discover the world at Leiden University Design For Testability Ahmed Abdelazeem 1
  • 2. Discover the world at Leiden University Index 1. Design For Test (DFT) 2. RTL Preparation for Scan Insertion 3. Scan Insertion using DC 4. Scan Insertion Violations 5. Introduction to At-Speed Testing 6. DFT Training Project 2
  • 3. Discover the world at Leiden University Chip Defects: They are Not My Fault! 3 My chip was well designed and functionally correct. It simulated and synthesized just fine, but when the chip was manufactured, it didn’t work! WHY? .
  • 4. Discover the world at Leiden University Manufacturing Defects 4  Silicon Defects  Photolithography Defects  Mask Contamination  Process Variations  Defective Oxide Physical Defects  Shorts (Bridging Faults)  Opens  Transistor Stuck On/Open  Resistive Short/Open  Changes in Threshold Voltage Electrical Effects  Logic Stuck-at-0/1  Slower Transitions (Delay Fault)  AND-bridging, OR-bridging Logical Effects
  • 5. Discover the world at Leiden University Why Test for Manufacturing Defects? 5 • To detect manufacturing defects and reject those parts before shipment • To debug the manufacturing process • To improve process yield
  • 6. Discover the world at Leiden University How is a Manufacturing Test Performed? 6 DUT Inputs Outputs • Automatic Test Equipment (ATE) applies input stimulus to the Device Under Test (DUT) and measures the output response • If the ATE observes a response different from the expected response, the DUT fails the manufacturing test • The process of generating the input stimulus and corresponding output response is known as Automated Test Pattern Generation (ATPG)
  • 7. Discover the world at Leiden University The Stuck-At Fault Model 7 Output Stuck At Logic 1 (SA1) Input Stuck At Logic 0 (SA0) Stuck-At Fault (SAF): A logical model representing the effects of an underlying physical defect.
  • 8. Discover the world at Leiden University Algorithm for Detecting a SAF 8 U1 A Z B C D SA0 If this SA0 fault is present then U1/Y stays at logic 0 If not present, then U1/Y is driven to its normal value You can exploit this “either/or behavior” to detect the fault.
  • 9. Discover the world at Leiden University Controllability 9 U1 0 1/0 Is the ability to set internal nodes to a specific value.
  • 10. Discover the world at Leiden University Observability 10 Enabling Input Observable Discrepancy 0 U1 1 0 0 0 1/0 0/1 1/0 Is the ability to propagate the fault effect from an internal node to a primary output port.
  • 11. Discover the world at Leiden University Fault Coverage 11 High fault coverage correlates to high defect coverage. Fault coverage = number of detectable faults total number of possible faults
  • 12. Discover the world at Leiden University Testing a Multistage, Pipelined Design 12 Testing a multistage, pipelined design can create a lot of complications for you. Each fault tested requires a predictive means for both controlling the input and observing the results downstream from the fault. Test for SA0 fault here. 1 0 0 1 You need to set input pins to specific values so that nets within pipeline can be set to values which test for a fault. Need to observe results at the output of the design.
  • 13. Discover the world at Leiden University 13 Scan Chains Help What effect will the mux and scan chain have on circuit timing? Test for SA0 fault here. 1 0 0 1 Scan Flip-Flop Scan_In Scan_Ena • Inserting a scan chain involves replacing all Flip-Flops with scannable Flip- Flops and serially “stitching” them up • Scan chains allow data to be easily shifted in to control internal nets (controllability), and shifted out to observe internal faults (observability)
  • 14. Discover the world at Leiden University Inaccuracy Due to Scan Replacements 14 Non-Scan Register Multiplexed Scan Register Chain Additional fanout and capacitive loading DO TI DI TE CLK 0 1 1 0 CLK Larger setup time requirement Larger area than non-scan registers; optimistic wire load model selection TI DI If you plan to include internal scan, you must account for the impact of scan registers on a design early in the design cycle.
  • 15. Discover the world at Leiden University Solution: Test-Ready Synthesis 15  Accurate area, timing, and loading modeled up front  Easier synthesis flow -- scan cell insertion performed in one compilation step DO DI TI 1 0 TO Scan Register Used During Initial Compile Benefits • Regular registers are replaced with scannable ones, but not chained or “stitched” • Include the scan style in the constraint script file: - set_scan_configuration -style <multiplexed_flip_flop | clocked_scan | lssd | aux_clock_lssd> • Execute test-ready compile: - compile –scan or compile_ultra -scan
  • 16. Discover the world at Leiden University Result of Test-Ready Compile: Example 16 compile ... -scan D TI TE Q “0” “0” A B CLK D E Scan cells inserted during compile D TI TE Q Loading effect of scan chain modeled Design is now ready to be stitched
  • 17. Discover the world at Leiden University Stitching up the Scan Chain 17 insert_dft D TI TE Q A B CLK D E Scan cells are stitched together to form a scan chain D TI TE Q Additional test input ports (TE, TI) are created if needed TI TE
  • 18. Discover the world at Leiden University What does insert_dft do? 18 • Replaces nonscan flip-flops if needed • Creates test access ports (TE, TI) if needed • Stitches access ports and scan cells together • Can add logic to increase fault coverage: - Enforces single-tristate-driver rule - Fixes uncontrollable clocks and resets - Maintains bidirectional paths during scan-shift cycles • Performs an incremental compile to fix timing and DRC violations • With DFTMAX: Inserts compression/decompression logic to reduce tester time - Reduces the size of the test patterns for a given number of ports
  • 19. Discover the world at Leiden University Potential Scan-Shift Issues 19 Design scenarios affecting risk-free scan include: • No clock pulse at scan flop due to gating of clock • No clock pulse due to dividing down of the clock • Unexpected asynchronous reset asserted at flop The ATE must fully control the clock and asynchronous set and reset lines reaching all the scan-path flip-flops. ! DFT Rule of Thumb:
  • 20. Discover the world at Leiden University Example: Unexpected Asynchronous Reset 20 RSTn F4 F2 F3 Reset Synchronizer D Q F0 SE D Q F1 SE • An unexpected reset signal from F1 during scan shifting would over- ride the scan data that you are trying to control or observe, therefore… • All affected flip-flops are excluded from scan chains • This reduces the fault coverage – not good!! Internal Reset Line Uncontrolled During Scan
  • 21. Discover the world at Leiden University Report test violations: dft_drc 21 dc_shell> dft_drc Warning: Asynchronous pins of cell F2_reg (fdf2a3) are uncontrollable. (TEST-116) Information: The network contains: F2_reg/CLR, rst_int_reg/Q. (TEST-281) Information: There are 479 other cells with the same violation. (TEST-171) ... PROTOCOL VIOLATIONS 480 Asynchronous pins uncontrollable violations (TEST-116) ... SEQUENTIAL CELLS WITH VIOLATIONS * 480 cells are black boxes ... How may we fix this problem?
  • 22. Discover the world at Leiden University Internal Asynchronous Reset Solution 22 ASIC_TEST RSTn Reset Synchronizer D Q F0 SE D Q F1 SE D Q F2 SE . . . D Q F3 SE 0 1 This solution offers higher coverage — for more DFT effort. Internal Reset Line Inactive During Scan insert_dft can do this automatically!
  • 23. Discover the world at Leiden University Preview Test Coverage 23 dc_shell-t-xg> dft_drc -coverage ... 17378 faults were added to fault list. ATPG performed for stuck fault model using internal pattern source. ... Uncollapsed Stuck Fault Summary Report ----------------------------------------------- fault class code #faults ------------------------------ ---- --------- Detected DT 17352 Possibly detected PT 0 Undetectable UD 23 ATPG untestable AU 0 Not detected ND 3 ----------------------------------------------- total faults 17378 test coverage 99.98% ----------------------------------------------- dft_drc -coverage estimates the test coverage using the TetraMAX ATPG engine.
  • 24. Discover the world at Leiden University Test For Understanding 24 1. A high fault coverage percentage is bad because this means your design has many faults. T or F? 2. The compile –scan command does not perform scan chain stitching. T or F? 3. DFT Compiler can add correcting logic to fix timing violations. T or F? 4. insert_dft can fix timing and DRC violations by default. T or F?
  • 25. Discover the world at Leiden University Discover the world at Leiden University Thank You  25

Editor's Notes

  1. Physical defects during wafer fabrication (e.g. mask contamination) can cause electrical defects (e.g. shorts, opens), which in turn can create logical defects (e.g. stuck-at-1 or 0).
  2. This logical view of an inverter shows the observable effects of underlying defect: A physical defect causes and electrical short on the input, which can be observed as a logical stuck-at-1 on the output. Logical fault models let us focus on observable symptoms—not submicroscopic causes. The SAF is not the only logical fault model in use, but its benefits make it the most popular.
  3. Look at the basic algorithm for detecting a stuck-at fault - the classic D algorithm: The D algorithm requires no internal probing (consistent with the “rules of the game”). Yet it is able to detect almost any stuck-at fault in a block of combinational logic. The D algorithm generates a test pattern for one stuck-at fault at a time, either SA0 or SA1. In its many forms, it is fundamental to all automatic test-pattern generation (ATPG) tools.
  4. 1. Pick a specific fault: in this example, the SA0 fault on the output of U1. 2. Generate a detectable error (called a fault effect) by asserting the primary input ports. If this specific fault is not present on the DUT, then U1/Y is 1. This is the fault-free value. If the target fault is present on a given DUT, then U1/Y is stuck at 0 (the faulty value). The key is the measurable difference, or discrepancy, between these two values.
  5. 3. Propagate the fault effect to a primary output, in this case, Z. The fault effect may get inverted, that does not affect the observable discrepancy. Measure the value at primary output Z to see whether the SA0 fault is present or not. If you see the expected fault-free response, continue with the rest of the test program. If you see a faulty response, discard the chip under test as defective.
  6. Note: The “-scan” option can be used with the compile or compile_ultra command. Once you perform a test-ready compile (using –scan), any subsequent compiles (incremental, or different map efforts or options) MUST also contain the “-scan” option. Otherwise you run the risk of reverting some of the scannable flip-flops back to non-scan flip-flops.
  7. The ordering of the san chain is done alpha-numerically. Scan specifications must be defined prior to insert_dft. This is done through TCL commands in a “scan spec” file. Some of these items include: the number and size of the scan chains; whether or not clock domains can be crossed; scan-in/out/enable port definitions, etc. These scan specifications are covered in the Design for Test workshop. DFTC will not add fault coverage “fixing logic” by default. You must first enable this behavior with set_dft_configuration + set_autofix_configuration commands. Enabling DFTMAX requires a separate license and additional commands.
  8. Risk-free scan requires that: Every scan flip-flop must receive the synchronizing clock pulses generated by the ATE Clock pulses should not be blocked from reaching a scan flop due to gating of the clock Clock edges must arrive regularly at scan flops, without being divided or multiplied No scan flops are unexpectedly set or reset asynchronously during the scan-shift process Conclusion: Run the recommended DRC checks early and often to identify and fix most problems.
  9. Risk-free scan requires inactive asynchronous set and reset signals during scan-shift. This design is a classic DRC violation which allows F1 to reset flip-flops F2, etc. Unless the violation is fixed, all affected flip-flops are excluded from any scan path. If many flops are excluded, the impact of this violation is a major loss of coverage. The DFTC warning for this violation is TEST-116, “asynchronous pin uncontrollable.” Use the “Auto Fix” feature as a fast workaround to add the correction logic during scan insertion (DFTC feature).
  10. The solution with optimal coverage is to multiplex RSTn around the synchronizer. Faults on the internal reset line are thus fully controllable—but are they observable? TetraMAX ATPG makes them observable by using RSTn in effect as a capture “clock.” The reset synchronizer module itself is also fully controllable—but not observable. When the autofix feature is turned on DFTC can take care of this issue automatically. This is discussed in the Design for Test Workshop.
  11. False. A high fault coverage means that during testing most internal nodes will be checked for faults. Ideally you would like to achieve 100% fault coverage. True. It only inserts scannable flops, but does not stitch them up. False. It can add correcting logic to eliminate DFT design rules, thereby increasing fault coverage. True. It does an incremental compile under the hood.