SlideShare a Scribd company logo
Kumar Gavanurmath
Design For Testability
Agenda
 Importance of Testing.
 What is Testability.
 What is DFT? Why?
 DFT Technique.
 Manufacturing Defects.
 Fault Modelling.
 Methods To Test Transition Fault.
 Fault Equivalence / collapsing.
 Structured Approach.
Importance of Testing
§ According to Moore’s law feature size is decreasing.
 defects are unavoidable.
§ Testing is required to guarantee fault-free chips.
§ Product quality depends on the following parameters,
ü Test cost
ü Test quality
ü Test time
§ DFT – “The game changer”
What is Testability?
 The ability to put a design into a known initial state, and then control
and observe internal signal values.
 Circuit without DFFs: Circuit is controllable and observable.
 Circuit with DFFs: Low testability.
 Two basic properties determine the testability of a node:
 Controllability
 The ability to set node to a specific value.
 Observability
 The ability to observe a node’s value.
What is DFT?
 DFT refers to hardware design styles, or added hardware that reduces
test generation complexity.
 Philosophy of DFT is Murphy's law:- ”whatever can go wrong, will go
wrong.”
 Motivation:- : Test generation complexity increases exponentially with
the size of the circuit.
 Basically DFT enables the manufacturing test.
 It is a structural technique, which facilitates a design to become testable
after production .
Why DFT
 To increase Productivity:
Shorter time-to-market
Reduced design cycle
Reduced cost
 To improve Quality:
Reduced Defects per million (DPM)
Improved quality of test
DFT Technique
 Ad-hoc Technique.
Ø As name implies Ad-hoc Technique is a temporary Technique.
Ø Is a strategy to enhance the design testability without making much change
to design style.
Ø Good design practices learnt through experience are used as guidelines for
ad-hoc DFT.
 Structural Technique.
Ø Here it provides more systematic & automatic approach to enhance the
design testability.
Ø Targets manufacturing defects.
Manufacturing Defects
 Physical problem in silicon:
q Extra metal-causing shorts.
q Insufficient Doping.
q Contamination causing opens.
q CMOS Stuck-ON.
q CMOS Stuck-OPEN.
q Slow Transistors.
Cont...
 Defect: The term defect generally refers to a physical imperfection in
the processed wafer.
 Some defects are observable through the optical or electron
microscope. Others are not visible and can only be detected by
electrical tests.
 Fault: A representation of a “defect” at the abstracted function level is
called a fault.
 Error: A wrong output signal produced by a defective system is called
an error.
 Failure: Repeated occurrence of the same defect indicates the need for
improvements in the manufacturing process or the design of the device.
Procedures for diagnosing defects and finding their causes are known
as failure mode analyses (FMA).
Fault Modelling
 Due to defect during manufacturing of integrated circuit, There is need to
model the possible faults that might occur during fabrication process, this is
called fault modelling.
v Stuck-at-fault.
v Transition fault.
§ Stuck-at-fault: From the beginning of the DFT single stuck-at fault model is
the most popular fault model used in practice. This is mainly due to process
variations, imperfection during photolithography and etching, the metal.
B
Y
A
Stuck-at-fault example
§ Fault activation
§ Fault propagation
§ Line justification
i
e
D
X
g
j
f
h
D
D
a
b=1
c
d
S-a-0
Figure Sensitization by b=1, Propagation path by e-f-g-h
D Algorithm
 Target a specific stuck-at-fault.
 Drive fault site to to opposite value.
 Propagate error to primary outputs.
 Generate patterns for one Stuck-at-fault at a time.
 Involve decision-making at almost every step.
Transition Fault Model
§ The transition fault is similar to the stuck-at fault in many respects.
§ The effect of a transition fault at any point P in a circuit is that a rising or a
falling transition at P will not reach an observable output such as a scan
flip-flop or a primary output within the desired time.
A
B
C
Methods To Test Transition Fault
 Delay tests require a vector pair to detect a fault.
 Since the patterns must be applied at the rated speed, at-speed
testing is needed.
 For full scan circuits, both the vectors in the scan flip-flops must be
ready for consecutive time frames to ensure atspeed testing.
 Several different methods are used to apply the vectors at-speed.
Transition faults are detected through scan chain. There are two
methods to detect transition faults.
 Skew Load or Launch-From-Shift (LOC).
 Skew Load or Launch-From-Shift (LOS).
Cont...
 LOC  LOS
Fault Equivalence / collapsing
 The gates behaves the same for any input
SA0 ,SA1 or the output SA0 ,SA1 .
 The fault after collapsing are included in the
fault universe called primary faults, the faults
after collapsing are not included in the fault
universe are called Equivalent fault of primary
faults.
 Fault Coverage = # of Detectable faults
Total no of faults
 Test Coverage = # of Detectable faults
# of Detected faults.
Structured Approach
 The structured DFT approach tries to boost the overall testability of a
circuit with a test oriented style methodology.
 This approach is organized and systematic with far more inevitable results.
Scan style, the most widely used structured DFT methodology, tries to
boost testability of a circuit by rising the controllability and observability of
storage elements in an exceedingly sequential style.
 Typically, this is often accomplished by converting the sequential design
into a scan design with 3 modes of operation they are,
 normal mode.
 shift mode.
 capture mode.
 Circuit operations with associated clock cycles conducted in these 3 modes
are referred to as normal operation, shift operation, and capture operation,
respectively.
Scan Cell Designs
 There are three types of scan cell designs, they are:
 Muxed-D scan cell.
 Clocked scan cell.
 LSSD scan cell.
 Muxed-D Scan cell:
Need for Scan Design
 The need for Scan design in a sequential design can be well understood by
considering a example shown in Figure below.
Cont...
 These scan chains are made externally accessible by connecting the scan
input of the first scan cell in a scan chain to a primary input and the output
of the last scan cell in a Scan chain to a primary output.
•
Scan design is currently the most popular structured DFT approach. It is
implemented by Connecting selected storage elements present in the
design into multiple shift registers, called Scan chains
Thank You

More Related Content

What's hot

2019 5 testing and verification of vlsi design_fault_modeling
2019 5 testing and verification of vlsi design_fault_modeling2019 5 testing and verification of vlsi design_fault_modeling
2019 5 testing and verification of vlsi design_fault_modeling
Usha Mehta
 
Deterministic Test Pattern Generation ( D-Algorithm of ATPG) (Testing of VLSI...
Deterministic Test Pattern Generation ( D-Algorithm of ATPG) (Testing of VLSI...Deterministic Test Pattern Generation ( D-Algorithm of ATPG) (Testing of VLSI...
Deterministic Test Pattern Generation ( D-Algorithm of ATPG) (Testing of VLSI...
Usha Mehta
 
Design-for-Test (Testing of VLSI Design)
Design-for-Test (Testing of VLSI Design)Design-for-Test (Testing of VLSI Design)
Design-for-Test (Testing of VLSI Design)
Usha Mehta
 
ATPG Methods and Algorithms
ATPG Methods and AlgorithmsATPG Methods and Algorithms
ATPG Methods and AlgorithmsDeiptii Das
 
Fault Simulation (Testing of VLSI Design)
Fault Simulation (Testing of VLSI Design)Fault Simulation (Testing of VLSI Design)
Fault Simulation (Testing of VLSI Design)
Usha Mehta
 
01 Transition Fault Detection methods by Swetha
01 Transition Fault Detection methods by Swetha01 Transition Fault Detection methods by Swetha
01 Transition Fault Detection methods by Swetha
swethamg18
 
Design for Testability
Design for TestabilityDesign for Testability
Design for Testability
Stanislav Tiurikov
 
VLSI Testing Techniques
VLSI Testing TechniquesVLSI Testing Techniques
VLSI Testing Techniques
A B Shinde
 
2019 2 testing and verification of vlsi design_verification
2019 2 testing and verification of vlsi design_verification2019 2 testing and verification of vlsi design_verification
2019 2 testing and verification of vlsi design_verification
Usha Mehta
 
Vlsi testing
Vlsi testingVlsi testing
Vlsi testing
Dilip Mathuria
 
Verilog tutorial
Verilog tutorialVerilog tutorial
Verilog tutorial
Maryala Srinivas
 
Fault simulation
Fault simulationFault simulation
Fault simulation
Juhi Khandelwal
 
Sta by usha_mehta
Sta by usha_mehtaSta by usha_mehta
Sta by usha_mehta
Usha Mehta
 
Synchronous and asynchronous clock
Synchronous and asynchronous clockSynchronous and asynchronous clock
Synchronous and asynchronous clock
Nallapati Anindra
 
Combinational & Sequential ATPG.pdf
Combinational & Sequential ATPG.pdfCombinational & Sequential ATPG.pdf
Combinational & Sequential ATPG.pdf
MoinPasha12
 
Clock distribution
Clock distributionClock distribution
Clock distribution
Kaushal Panchal
 
Transition fault detection
Transition fault detectionTransition fault detection
Transition fault detection
Rahul Krishnamurthy
 
Vlsi
VlsiVlsi
Introduction of testing and verification of vlsi design
Introduction of testing and verification of vlsi designIntroduction of testing and verification of vlsi design
Introduction of testing and verification of vlsi design
Usha Mehta
 

What's hot (20)

dft
dftdft
dft
 
2019 5 testing and verification of vlsi design_fault_modeling
2019 5 testing and verification of vlsi design_fault_modeling2019 5 testing and verification of vlsi design_fault_modeling
2019 5 testing and verification of vlsi design_fault_modeling
 
Deterministic Test Pattern Generation ( D-Algorithm of ATPG) (Testing of VLSI...
Deterministic Test Pattern Generation ( D-Algorithm of ATPG) (Testing of VLSI...Deterministic Test Pattern Generation ( D-Algorithm of ATPG) (Testing of VLSI...
Deterministic Test Pattern Generation ( D-Algorithm of ATPG) (Testing of VLSI...
 
Design-for-Test (Testing of VLSI Design)
Design-for-Test (Testing of VLSI Design)Design-for-Test (Testing of VLSI Design)
Design-for-Test (Testing of VLSI Design)
 
ATPG Methods and Algorithms
ATPG Methods and AlgorithmsATPG Methods and Algorithms
ATPG Methods and Algorithms
 
Fault Simulation (Testing of VLSI Design)
Fault Simulation (Testing of VLSI Design)Fault Simulation (Testing of VLSI Design)
Fault Simulation (Testing of VLSI Design)
 
01 Transition Fault Detection methods by Swetha
01 Transition Fault Detection methods by Swetha01 Transition Fault Detection methods by Swetha
01 Transition Fault Detection methods by Swetha
 
Design for Testability
Design for TestabilityDesign for Testability
Design for Testability
 
VLSI Testing Techniques
VLSI Testing TechniquesVLSI Testing Techniques
VLSI Testing Techniques
 
2019 2 testing and verification of vlsi design_verification
2019 2 testing and verification of vlsi design_verification2019 2 testing and verification of vlsi design_verification
2019 2 testing and verification of vlsi design_verification
 
Vlsi testing
Vlsi testingVlsi testing
Vlsi testing
 
Verilog tutorial
Verilog tutorialVerilog tutorial
Verilog tutorial
 
Fault simulation
Fault simulationFault simulation
Fault simulation
 
Sta by usha_mehta
Sta by usha_mehtaSta by usha_mehta
Sta by usha_mehta
 
Synchronous and asynchronous clock
Synchronous and asynchronous clockSynchronous and asynchronous clock
Synchronous and asynchronous clock
 
Combinational & Sequential ATPG.pdf
Combinational & Sequential ATPG.pdfCombinational & Sequential ATPG.pdf
Combinational & Sequential ATPG.pdf
 
Clock distribution
Clock distributionClock distribution
Clock distribution
 
Transition fault detection
Transition fault detectionTransition fault detection
Transition fault detection
 
Vlsi
VlsiVlsi
Vlsi
 
Introduction of testing and verification of vlsi design
Introduction of testing and verification of vlsi designIntroduction of testing and verification of vlsi design
Introduction of testing and verification of vlsi design
 

Similar to Design for Testability

1.Week1.pptx
1.Week1.pptx1.Week1.pptx
1.Week1.pptx
sathisha36
 
C044061518
C044061518C044061518
C044061518
IJERA Editor
 
Applications of ATPG
Applications of ATPGApplications of ATPG
Applications of ATPG
Ushaswini Chowdary
 
Soc.pptx
Soc.pptxSoc.pptx
Soc.pptx
Jagu Mounica
 
Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...
Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...
Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...
IRJET Journal
 
design and testability.pptx
design and testability.pptxdesign and testability.pptx
design and testability.pptx
19445KNithinbabu
 
Wavelet Based on the Finding of Hard and Soft Faults in Analog and Digital Si...
Wavelet Based on the Finding of Hard and Soft Faults in Analog and Digital Si...Wavelet Based on the Finding of Hard and Soft Faults in Analog and Digital Si...
Wavelet Based on the Finding of Hard and Soft Faults in Analog and Digital Si...
ijcisjournal
 
Module5 Testing and Verification.pdf
Module5 Testing and Verification.pdfModule5 Testing and Verification.pdf
Module5 Testing and Verification.pdf
BhavanaHN5
 
Dealing with the Three Horrible Problems in Verification
Dealing with the Three Horrible Problems in VerificationDealing with the Three Horrible Problems in Verification
Dealing with the Three Horrible Problems in VerificationDVClub
 
Test pattern Generation for 4:1 MUX
Test pattern Generation for 4:1 MUXTest pattern Generation for 4:1 MUX
Test pattern Generation for 4:1 MUX
UrmilasSrinivasan
 
Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Co...
Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Co...Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Co...
Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Co...
iosrjce
 
H010613642
H010613642H010613642
H010613642
IOSR Journals
 
Enhanced Skewed Load and Broadside Power Reduction in Transition Fault Testing
Enhanced Skewed Load and Broadside Power Reduction in Transition Fault TestingEnhanced Skewed Load and Broadside Power Reduction in Transition Fault Testing
Enhanced Skewed Load and Broadside Power Reduction in Transition Fault Testing
IJERA Editor
 
Testing of Cyber-Physical Systems: Diversity-driven Strategies
Testing of Cyber-Physical Systems: Diversity-driven StrategiesTesting of Cyber-Physical Systems: Diversity-driven Strategies
Testing of Cyber-Physical Systems: Diversity-driven Strategies
Lionel Briand
 
Design for Testability in Timely Testing of Vlsi Circuits
Design for Testability in Timely Testing of Vlsi CircuitsDesign for Testability in Timely Testing of Vlsi Circuits
Design for Testability in Timely Testing of Vlsi Circuits
IJERA Editor
 
Incremental Model Queries for Model-Dirven Software Engineering
Incremental Model Queries for Model-Dirven Software EngineeringIncremental Model Queries for Model-Dirven Software Engineering
Incremental Model Queries for Model-Dirven Software Engineering
Ákos Horváth
 
Discrete-event simulation: best practices and implementation details in Pytho...
Discrete-event simulation: best practices and implementation details in Pytho...Discrete-event simulation: best practices and implementation details in Pytho...
Discrete-event simulation: best practices and implementation details in Pytho...
Carlos Natalino da Silva
 
IRJET- Metastability Mitigation & Error Masking of High Speed Flip-Flop
IRJET- Metastability Mitigation & Error Masking of High Speed Flip-FlopIRJET- Metastability Mitigation & Error Masking of High Speed Flip-Flop
IRJET- Metastability Mitigation & Error Masking of High Speed Flip-Flop
IRJET Journal
 

Similar to Design for Testability (20)

1.Week1.pptx
1.Week1.pptx1.Week1.pptx
1.Week1.pptx
 
Dill may-2008
Dill may-2008Dill may-2008
Dill may-2008
 
C044061518
C044061518C044061518
C044061518
 
Applications of ATPG
Applications of ATPGApplications of ATPG
Applications of ATPG
 
Soc.pptx
Soc.pptxSoc.pptx
Soc.pptx
 
Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...
Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...
Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...
 
design and testability.pptx
design and testability.pptxdesign and testability.pptx
design and testability.pptx
 
Wavelet Based on the Finding of Hard and Soft Faults in Analog and Digital Si...
Wavelet Based on the Finding of Hard and Soft Faults in Analog and Digital Si...Wavelet Based on the Finding of Hard and Soft Faults in Analog and Digital Si...
Wavelet Based on the Finding of Hard and Soft Faults in Analog and Digital Si...
 
Module5 Testing and Verification.pdf
Module5 Testing and Verification.pdfModule5 Testing and Verification.pdf
Module5 Testing and Verification.pdf
 
Abraham q3 2008
Abraham q3 2008Abraham q3 2008
Abraham q3 2008
 
Dealing with the Three Horrible Problems in Verification
Dealing with the Three Horrible Problems in VerificationDealing with the Three Horrible Problems in Verification
Dealing with the Three Horrible Problems in Verification
 
Test pattern Generation for 4:1 MUX
Test pattern Generation for 4:1 MUXTest pattern Generation for 4:1 MUX
Test pattern Generation for 4:1 MUX
 
Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Co...
Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Co...Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Co...
Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Co...
 
H010613642
H010613642H010613642
H010613642
 
Enhanced Skewed Load and Broadside Power Reduction in Transition Fault Testing
Enhanced Skewed Load and Broadside Power Reduction in Transition Fault TestingEnhanced Skewed Load and Broadside Power Reduction in Transition Fault Testing
Enhanced Skewed Load and Broadside Power Reduction in Transition Fault Testing
 
Testing of Cyber-Physical Systems: Diversity-driven Strategies
Testing of Cyber-Physical Systems: Diversity-driven StrategiesTesting of Cyber-Physical Systems: Diversity-driven Strategies
Testing of Cyber-Physical Systems: Diversity-driven Strategies
 
Design for Testability in Timely Testing of Vlsi Circuits
Design for Testability in Timely Testing of Vlsi CircuitsDesign for Testability in Timely Testing of Vlsi Circuits
Design for Testability in Timely Testing of Vlsi Circuits
 
Incremental Model Queries for Model-Dirven Software Engineering
Incremental Model Queries for Model-Dirven Software EngineeringIncremental Model Queries for Model-Dirven Software Engineering
Incremental Model Queries for Model-Dirven Software Engineering
 
Discrete-event simulation: best practices and implementation details in Pytho...
Discrete-event simulation: best practices and implementation details in Pytho...Discrete-event simulation: best practices and implementation details in Pytho...
Discrete-event simulation: best practices and implementation details in Pytho...
 
IRJET- Metastability Mitigation & Error Masking of High Speed Flip-Flop
IRJET- Metastability Mitigation & Error Masking of High Speed Flip-FlopIRJET- Metastability Mitigation & Error Masking of High Speed Flip-Flop
IRJET- Metastability Mitigation & Error Masking of High Speed Flip-Flop
 

Recently uploaded

DESIGN A COTTON SEED SEPARATION MACHINE.docx
DESIGN A COTTON SEED SEPARATION MACHINE.docxDESIGN A COTTON SEED SEPARATION MACHINE.docx
DESIGN A COTTON SEED SEPARATION MACHINE.docx
FluxPrime1
 
road safety engineering r s e unit 3.pdf
road safety engineering  r s e unit 3.pdfroad safety engineering  r s e unit 3.pdf
road safety engineering r s e unit 3.pdf
VENKATESHvenky89705
 
block diagram and signal flow graph representation
block diagram and signal flow graph representationblock diagram and signal flow graph representation
block diagram and signal flow graph representation
Divya Somashekar
 
一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理
一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理
一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理
ydteq
 
Nuclear Power Economics and Structuring 2024
Nuclear Power Economics and Structuring 2024Nuclear Power Economics and Structuring 2024
Nuclear Power Economics and Structuring 2024
Massimo Talia
 
Industrial Training at Shahjalal Fertilizer Company Limited (SFCL)
Industrial Training at Shahjalal Fertilizer Company Limited (SFCL)Industrial Training at Shahjalal Fertilizer Company Limited (SFCL)
Industrial Training at Shahjalal Fertilizer Company Limited (SFCL)
MdTanvirMahtab2
 
Hybrid optimization of pumped hydro system and solar- Engr. Abdul-Azeez.pdf
Hybrid optimization of pumped hydro system and solar- Engr. Abdul-Azeez.pdfHybrid optimization of pumped hydro system and solar- Engr. Abdul-Azeez.pdf
Hybrid optimization of pumped hydro system and solar- Engr. Abdul-Azeez.pdf
fxintegritypublishin
 
RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...
RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...
RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...
thanhdowork
 
space technology lecture notes on satellite
space technology lecture notes on satellitespace technology lecture notes on satellite
space technology lecture notes on satellite
ongomchris
 
English lab ppt no titlespecENG PPTt.pdf
English lab ppt no titlespecENG PPTt.pdfEnglish lab ppt no titlespecENG PPTt.pdf
English lab ppt no titlespecENG PPTt.pdf
BrazilAccount1
 
power quality voltage fluctuation UNIT - I.pptx
power quality voltage fluctuation UNIT - I.pptxpower quality voltage fluctuation UNIT - I.pptx
power quality voltage fluctuation UNIT - I.pptx
ViniHema
 
HYDROPOWER - Hydroelectric power generation
HYDROPOWER - Hydroelectric power generationHYDROPOWER - Hydroelectric power generation
HYDROPOWER - Hydroelectric power generation
Robbie Edward Sayers
 
MCQ Soil mechanics questions (Soil shear strength).pdf
MCQ Soil mechanics questions (Soil shear strength).pdfMCQ Soil mechanics questions (Soil shear strength).pdf
MCQ Soil mechanics questions (Soil shear strength).pdf
Osamah Alsalih
 
Investor-Presentation-Q1FY2024 investor presentation document.pptx
Investor-Presentation-Q1FY2024 investor presentation document.pptxInvestor-Presentation-Q1FY2024 investor presentation document.pptx
Investor-Presentation-Q1FY2024 investor presentation document.pptx
AmarGB2
 
AP LAB PPT.pdf ap lab ppt no title specific
AP LAB PPT.pdf ap lab ppt no title specificAP LAB PPT.pdf ap lab ppt no title specific
AP LAB PPT.pdf ap lab ppt no title specific
BrazilAccount1
 
Runway Orientation Based on the Wind Rose Diagram.pptx
Runway Orientation Based on the Wind Rose Diagram.pptxRunway Orientation Based on the Wind Rose Diagram.pptx
Runway Orientation Based on the Wind Rose Diagram.pptx
SupreethSP4
 
Governing Equations for Fundamental Aerodynamics_Anderson2010.pdf
Governing Equations for Fundamental Aerodynamics_Anderson2010.pdfGoverning Equations for Fundamental Aerodynamics_Anderson2010.pdf
Governing Equations for Fundamental Aerodynamics_Anderson2010.pdf
WENKENLI1
 
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&BDesign and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
Sreedhar Chowdam
 
Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...
Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...
Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...
AJAYKUMARPUND1
 
Hierarchical Digital Twin of a Naval Power System
Hierarchical Digital Twin of a Naval Power SystemHierarchical Digital Twin of a Naval Power System
Hierarchical Digital Twin of a Naval Power System
Kerry Sado
 

Recently uploaded (20)

DESIGN A COTTON SEED SEPARATION MACHINE.docx
DESIGN A COTTON SEED SEPARATION MACHINE.docxDESIGN A COTTON SEED SEPARATION MACHINE.docx
DESIGN A COTTON SEED SEPARATION MACHINE.docx
 
road safety engineering r s e unit 3.pdf
road safety engineering  r s e unit 3.pdfroad safety engineering  r s e unit 3.pdf
road safety engineering r s e unit 3.pdf
 
block diagram and signal flow graph representation
block diagram and signal flow graph representationblock diagram and signal flow graph representation
block diagram and signal flow graph representation
 
一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理
一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理
一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理
 
Nuclear Power Economics and Structuring 2024
Nuclear Power Economics and Structuring 2024Nuclear Power Economics and Structuring 2024
Nuclear Power Economics and Structuring 2024
 
Industrial Training at Shahjalal Fertilizer Company Limited (SFCL)
Industrial Training at Shahjalal Fertilizer Company Limited (SFCL)Industrial Training at Shahjalal Fertilizer Company Limited (SFCL)
Industrial Training at Shahjalal Fertilizer Company Limited (SFCL)
 
Hybrid optimization of pumped hydro system and solar- Engr. Abdul-Azeez.pdf
Hybrid optimization of pumped hydro system and solar- Engr. Abdul-Azeez.pdfHybrid optimization of pumped hydro system and solar- Engr. Abdul-Azeez.pdf
Hybrid optimization of pumped hydro system and solar- Engr. Abdul-Azeez.pdf
 
RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...
RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...
RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...
 
space technology lecture notes on satellite
space technology lecture notes on satellitespace technology lecture notes on satellite
space technology lecture notes on satellite
 
English lab ppt no titlespecENG PPTt.pdf
English lab ppt no titlespecENG PPTt.pdfEnglish lab ppt no titlespecENG PPTt.pdf
English lab ppt no titlespecENG PPTt.pdf
 
power quality voltage fluctuation UNIT - I.pptx
power quality voltage fluctuation UNIT - I.pptxpower quality voltage fluctuation UNIT - I.pptx
power quality voltage fluctuation UNIT - I.pptx
 
HYDROPOWER - Hydroelectric power generation
HYDROPOWER - Hydroelectric power generationHYDROPOWER - Hydroelectric power generation
HYDROPOWER - Hydroelectric power generation
 
MCQ Soil mechanics questions (Soil shear strength).pdf
MCQ Soil mechanics questions (Soil shear strength).pdfMCQ Soil mechanics questions (Soil shear strength).pdf
MCQ Soil mechanics questions (Soil shear strength).pdf
 
Investor-Presentation-Q1FY2024 investor presentation document.pptx
Investor-Presentation-Q1FY2024 investor presentation document.pptxInvestor-Presentation-Q1FY2024 investor presentation document.pptx
Investor-Presentation-Q1FY2024 investor presentation document.pptx
 
AP LAB PPT.pdf ap lab ppt no title specific
AP LAB PPT.pdf ap lab ppt no title specificAP LAB PPT.pdf ap lab ppt no title specific
AP LAB PPT.pdf ap lab ppt no title specific
 
Runway Orientation Based on the Wind Rose Diagram.pptx
Runway Orientation Based on the Wind Rose Diagram.pptxRunway Orientation Based on the Wind Rose Diagram.pptx
Runway Orientation Based on the Wind Rose Diagram.pptx
 
Governing Equations for Fundamental Aerodynamics_Anderson2010.pdf
Governing Equations for Fundamental Aerodynamics_Anderson2010.pdfGoverning Equations for Fundamental Aerodynamics_Anderson2010.pdf
Governing Equations for Fundamental Aerodynamics_Anderson2010.pdf
 
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&BDesign and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
 
Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...
Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...
Pile Foundation by Venkatesh Taduvai (Sub Geotechnical Engineering II)-conver...
 
Hierarchical Digital Twin of a Naval Power System
Hierarchical Digital Twin of a Naval Power SystemHierarchical Digital Twin of a Naval Power System
Hierarchical Digital Twin of a Naval Power System
 

Design for Testability

  • 2. Agenda  Importance of Testing.  What is Testability.  What is DFT? Why?  DFT Technique.  Manufacturing Defects.  Fault Modelling.  Methods To Test Transition Fault.  Fault Equivalence / collapsing.  Structured Approach.
  • 3. Importance of Testing § According to Moore’s law feature size is decreasing.  defects are unavoidable. § Testing is required to guarantee fault-free chips. § Product quality depends on the following parameters, ü Test cost ü Test quality ü Test time § DFT – “The game changer”
  • 4. What is Testability?  The ability to put a design into a known initial state, and then control and observe internal signal values.  Circuit without DFFs: Circuit is controllable and observable.  Circuit with DFFs: Low testability.  Two basic properties determine the testability of a node:  Controllability  The ability to set node to a specific value.  Observability  The ability to observe a node’s value.
  • 5. What is DFT?  DFT refers to hardware design styles, or added hardware that reduces test generation complexity.  Philosophy of DFT is Murphy's law:- ”whatever can go wrong, will go wrong.”  Motivation:- : Test generation complexity increases exponentially with the size of the circuit.  Basically DFT enables the manufacturing test.  It is a structural technique, which facilitates a design to become testable after production .
  • 6. Why DFT  To increase Productivity: Shorter time-to-market Reduced design cycle Reduced cost  To improve Quality: Reduced Defects per million (DPM) Improved quality of test
  • 7. DFT Technique  Ad-hoc Technique. Ø As name implies Ad-hoc Technique is a temporary Technique. Ø Is a strategy to enhance the design testability without making much change to design style. Ø Good design practices learnt through experience are used as guidelines for ad-hoc DFT.  Structural Technique. Ø Here it provides more systematic & automatic approach to enhance the design testability. Ø Targets manufacturing defects.
  • 8. Manufacturing Defects  Physical problem in silicon: q Extra metal-causing shorts. q Insufficient Doping. q Contamination causing opens. q CMOS Stuck-ON. q CMOS Stuck-OPEN. q Slow Transistors.
  • 9. Cont...  Defect: The term defect generally refers to a physical imperfection in the processed wafer.  Some defects are observable through the optical or electron microscope. Others are not visible and can only be detected by electrical tests.  Fault: A representation of a “defect” at the abstracted function level is called a fault.  Error: A wrong output signal produced by a defective system is called an error.  Failure: Repeated occurrence of the same defect indicates the need for improvements in the manufacturing process or the design of the device. Procedures for diagnosing defects and finding their causes are known as failure mode analyses (FMA).
  • 10. Fault Modelling  Due to defect during manufacturing of integrated circuit, There is need to model the possible faults that might occur during fabrication process, this is called fault modelling. v Stuck-at-fault. v Transition fault. § Stuck-at-fault: From the beginning of the DFT single stuck-at fault model is the most popular fault model used in practice. This is mainly due to process variations, imperfection during photolithography and etching, the metal. B Y A
  • 11. Stuck-at-fault example § Fault activation § Fault propagation § Line justification i e D X g j f h D D a b=1 c d S-a-0 Figure Sensitization by b=1, Propagation path by e-f-g-h
  • 12. D Algorithm  Target a specific stuck-at-fault.  Drive fault site to to opposite value.  Propagate error to primary outputs.  Generate patterns for one Stuck-at-fault at a time.  Involve decision-making at almost every step.
  • 13. Transition Fault Model § The transition fault is similar to the stuck-at fault in many respects. § The effect of a transition fault at any point P in a circuit is that a rising or a falling transition at P will not reach an observable output such as a scan flip-flop or a primary output within the desired time. A B C
  • 14. Methods To Test Transition Fault  Delay tests require a vector pair to detect a fault.  Since the patterns must be applied at the rated speed, at-speed testing is needed.  For full scan circuits, both the vectors in the scan flip-flops must be ready for consecutive time frames to ensure atspeed testing.  Several different methods are used to apply the vectors at-speed. Transition faults are detected through scan chain. There are two methods to detect transition faults.  Skew Load or Launch-From-Shift (LOC).  Skew Load or Launch-From-Shift (LOS).
  • 16. Fault Equivalence / collapsing  The gates behaves the same for any input SA0 ,SA1 or the output SA0 ,SA1 .  The fault after collapsing are included in the fault universe called primary faults, the faults after collapsing are not included in the fault universe are called Equivalent fault of primary faults.  Fault Coverage = # of Detectable faults Total no of faults  Test Coverage = # of Detectable faults # of Detected faults.
  • 17. Structured Approach  The structured DFT approach tries to boost the overall testability of a circuit with a test oriented style methodology.  This approach is organized and systematic with far more inevitable results. Scan style, the most widely used structured DFT methodology, tries to boost testability of a circuit by rising the controllability and observability of storage elements in an exceedingly sequential style.  Typically, this is often accomplished by converting the sequential design into a scan design with 3 modes of operation they are,  normal mode.  shift mode.  capture mode.  Circuit operations with associated clock cycles conducted in these 3 modes are referred to as normal operation, shift operation, and capture operation, respectively.
  • 18. Scan Cell Designs  There are three types of scan cell designs, they are:  Muxed-D scan cell.  Clocked scan cell.  LSSD scan cell.  Muxed-D Scan cell:
  • 19. Need for Scan Design  The need for Scan design in a sequential design can be well understood by considering a example shown in Figure below.
  • 20. Cont...  These scan chains are made externally accessible by connecting the scan input of the first scan cell in a scan chain to a primary input and the output of the last scan cell in a Scan chain to a primary output. • Scan design is currently the most popular structured DFT approach. It is implemented by Connecting selected storage elements present in the design into multiple shift registers, called Scan chains