SlideShare a Scribd company logo
N.geetha Rani Int. Journal of Engineering Research and Applications www.ijera.com
ISSN: 2248-9622, Vol. 5, Issue 8, (Part - 1) August 2015, pp.83-86
www.ijera.com 83 | P a g e
Design of Memory Cell for Low Power Applications
N.geetha Rani*
, DR.P.Chandrasekhar Reddy**
*
Associate Professor, ECE Department, Ravindra College of Engineering for Women, Kurnool, A.P
**
Professor, ECE Department, JNTUH College of Engineering, Hyderabad, A.P
ABSTRACT
Aggressive CMOS scaling results in lower threshold voltage and thin oxide thickness for transistors
manufactured in nano regime. As a result, reducing the sub-threshold and tunneling gate leakage currents has
become crucial in the design of ICs. This paper presents a new method to reduce the total leakage power
dissipation of static random access memories (SRAMs) while maintaining their performance.
Keywords - Leakage currents, SRAM, power consumption
I. INTRODUCTION
With diminishing process feature sizes and
operating voltages, the control of leakage currents in
modern VLSI designs is becoming a significant
challenge. Leakage currents increase exponentially
with decreasing threshold voltages. The power
consumed by a design in the standby mode of
operation is due to leakage currents in its devices.
With the prevalence of portable electronics, it is
crucial to keep the leakage currents of a design small
in order to ensure a long battery life in the standby
mode of operation. The leakage current for a PMOS
or NMOS device corresponds to the Idsof the device
when the device is in the cutoff or sub-threshold
region of operation. The expression for this current
[2] is
Ids=W/L Ioe(Vgs-VT-Voff/ηVt)
(1-e(-Vds/Vt)
) (1)
Here Io and Voff (typically Voff = -.08V) are
constants, while Vt is the thermal voltage (26 mV at
300 K) and η is the sub-threshold swing parameter.
We note that Ids increases exponentially with a
decrease in VT . This is why a reduction in supply
voltage (which is accompanied by a reduction in
threshold voltage) results in exponential increase in
leakage.
Another observation that can be made from (1) is
that Ids is significantly larger when Vds>>ηVt. For
typical devices, this is satisfied when Vds~VDD. The
reason for this is not only that the last term of (1) is
close to unity, but also that with a large value of
VDS.VT would be lowered due to drain induced
barrier lowering (DIBL) VT decreases approximately
linearly with increasing Vds [3], [2]. Therefore,
leakage reduction techniques should ensure that the
supply voltage is not applied across a single device,
as far as possible.
In recent times, leakage power reduction has
received much attention in academic research as well
as industrial applications. Several means of reducing
leakage power have been proposed. In [8], the
authors propose a dynamic threshold MOSFET
design for low leakage applications. In this scheme,
the device gate is connected to the bulk, resulting in
high-speed switching and low leakage currents
through body effect control. The drawback of this
approach is that it is only applicable in situations
where is lower than the diode turn-on voltage. Also,
the increased capacitance of the gate signal slows the
device down, and as a result, the authors propose the
use of this technique for partially depleted silicon on
insulator (SOI) designs. Another methodology for
controlling leakage is the variable threshold (often
called VTCMOS) approach.
In such an approach, the device threshold
voltages are controlled dynamically by modifying the
device bulk voltage. This method offers the
advantage of decreasing the leakage in standby mode
while not increasing the delay in the active mode..
However, complex control circuitry is required to
generate and control the bulk voltages. Another
drawback is that the bulk terminals have to be
electrically isolated from the source terminals of the
devices and this may require some major changes in
cell layout. In another approach called the super cut-
off CMOS (SCCMOS) approach, the gate of a PMOS
device which gates the VDD supply is overdriven,
thereby reducing the leakage dramatically. This again
requires the design of complex circuitry to generate
and control the special over-driven voltage values.
II. MULT-THRESHOLD CMOS
The concept of Multi- Threshold CMOS
(MTCMOS) which has emerged as a very popular
technique for standby mode leakage power reduction.
In this technique, a high-threshold voltage
transistor is inserted in series with the power supply
and the existing design and ground as shown in
Figure. The working of this circuit is as follows.
During Active mode of operation, the high threshold
Vt transistors are turned on, thereby facilitating
normal operation of the circuit as there exists a direct
RESEARCH ARTICLE OPEN ACCESS
N.geetha Rani Int. Journal of Engineering Research and Applications www.ijera.com
ISSN: 2248-9622, Vol. 5, Issue 8, (Part - 1) August 2015, pp.83-86
www.ijera.com 84 | P a g e
path from the output to ground and Vdd. During
Standby mode, these transistors are turned off
creating a virtual power supply and ground rail and
cutting off the circuit from supply.
Fig. 2.1 MTCMOS Structure
Since the high Vt transistors operating in standby
mode forces the circuit to go to”sleep”, they are also
known as sleep transistors. Thus, in this technique
both standard – and high - threshold voltages are
fabricated on a single chip. From a visual
perspective, these high Vt sleep transistors act as a
current gate to the designed circuit. For this reason,
this technique is also referred to as Power Gating.
Effect of Introducing Sleep Transistors in Active
Mode
Consider the Pull Up Network (PUN) of a static
CMOS circuit. A PMOS sleep transistor is inserted
between Vdd source and the PUN. During the normal
mode of circuit operation, the sleep transistors can be
modeled as a resistor R as shown in Figure.
Assuming that the current flowing into the transistor
is I, this resistance will cause a voltage drop across it,
say Vsleep. Therefore, the gate driving capability
reduces to Vdd −Vsleep from Vdd. This reduction in
driving capability causes degradation in circuit
performance.
To overcome this problem, it is essential to
lower the resistance R of the transistor as much as
possible. This in turn implies increasing the size
(width) of the the transistor, since the resistance of
the transistor is inversely proportional to its width.
This, however, comes at an expense of increased area
and dynamic power dissipation. Conversely, a small
size transistor would degrade the circuit speed. A
solution to this problem would be to reduce the
threshold voltage Vt but as seen from Equation 1, the
sub-threshold current and hence the leakage power
would increase exponentially. Hence, there is a clear
trade-off between area, power and delay metrics of a
circuit for low leakage designs.
Figure 2.2 Sleep Transistor modeled as Resistor
III. PROPOSED METHOD
This paper deals with low-leakage ASIC design
using specialized standard cells. Based on the
discussion of the previous section, we know that Ids
would be significantly larger When Vds>>ηVt. This
is because VT drops due to DIBL when Vds is Large.
This causes the first term of (1) to increase
exponentially, while the parenthesized term of (1) is
close to 1. Our approach to leakage reduction
attempts to ensure that the supply voltage is applied
across more than one turned-off device and one of
those devices is a high-VT device. This is achieved by
selectively introducing a high- VT PMOS or NMOS
supply gating device. By this design choice, we
obtain standard cells with both low and predictable
standby leakage currents. Our goal is to design
standard cells with predictably low leakage currents.
To achieve this purpose, we design two variants of
each standard cell. The two variants of each standard
cell are designated “H” and “L.” If the inputs of a cell
during the standby mode of operation are such that
the output has a high value, we minimize the leakage
in the pull-down network. So a footer device (a high-
VT NMOS with its gate connected to standby) is
used. We call such a cell the “H” variant of the
standard cell. Similarly, if the inputs of a cell during
the standby mode of operation are such that the
output has a low value, we minimize the leakage in
the pull-up network by adding a header device (a
high- VT PMOS with its gate connected standby), and
call such a cell the “L” variant of the standard cell.
This exercise, when carried out for a NAND3 gate,
yields Circuits shown in Fig. 1.Note that the
MTCMOS circuit is also shown here. Although the
PMOS and NMOS supply gating devices
(equivalently called header and footer devices
(devices shown shaded in Fig. 1) are shown in the
circuit for the MTCMOS design, such devices are in
practice shared by all the standard cells of a larger
circuit block.
N.geetha Rani Int. Journal of Engineering Research and Applications www.ijera.com
ISSN: 2248-9622, Vol. 5, Issue 8, (Part - 1) August 2015, pp.83-86
www.ijera.com 85 | P a g e
Fig. 3.1 Transistor Level Description
Fig. 3.2. Layout flop-plan of HL-gates
We sized the header and footer devices so that
the worst-case output delay penalty over all gate
input transitions was no larger than 15% as compared
to the regular standard cell using low transistors
IV. SIMULATION RESULTS
Fig .4.1 High-Vt SRAM cell
Fig .4.2 D.C Response of High-Vt SRAM cell
Fig. 4.3 Low-Vt SRAM Cell
Fig. 4.4 D.C Response of Low-Vt SRAM cell
N.geetha Rani Int. Journal of Engineering Research and Applications www.ijera.com
ISSN: 2248-9622, Vol. 5, Issue 8, (Part - 1) August 2015, pp.83-86
www.ijera.com 86 | P a g e
eFig. 4.5 MTCMOS SRAM Cell
Fig.4.6 D.C Response of SRAM Cell
V. CONCLUSION
In this paper, we have explored low-leakage
standard cell based ASIC design methodologies for
SRAM cell. We implemented low leakage SRAM
cell for different cases such as high-Vt, low-Vt and
MTCMOS methodology. By out technique we can
reduce the leakage currents to nearly 50-60% thereby
operating the cell at low power.
REFERENCES
[1] ITRS, San Jose, CA, “The international
technology roadmap for
semiconductors,”(2002).
[2] The Device Group, Dept. EECS, Univ.
California, Berkeley, CA, “BSIM3
Homepage,” (2001). [Online]. Available
[3] J. Rabaey, Digital Integrated Circuits: A
Design Perspective, ser. Prentice- Hall
Electronics and VLSI Series. Englewood
Cliffs, NJ: Prentice-Hall, 1996.
[4] J. T. Kao and A. P. Chandrakasan, “Dual-
threshold voltage tec hniques for low-power
digital circuits,” IEEE J. Solid-State
Circuits, vol. 35, no. 7, pp. 1009–1018, Jul.
2000.
[5] S. Mutoh, T. Douseki, Y. Matsuya, T. Aoki,
S. Shigematsu, and J. Yamada,“1-v power
supply high-speed digital circuit technology
with multithreshold-voltage CMOS,” IEEE
J. Solid-State Circuits, vol. 30, no. 8, pp.
847–854, Aug. 1995.
[6] T. Kuroda, T. Fujita, S. Mita, T. Nagamatsu,
S. Yoshioka, K. Suzuki, F. Sano, M.
Norishima, M. Murota, M. Kako, M. K. M.
Kakumu and T. Sakurai, “A 0.9-V, 150-
MHz, 10-mW, 4 mm 2, 2-D discrete cosine
transform core processor with variable
threshold-voltage (VT) scheme,” IEEE J.
Solid-State Circuits, vol. 31, no. 11, pp.
1770–1779, Nov. 1996.
[7] H. Kawaguchi, K. Nose, and T. Sakurai, “A
super cut-off CMOS (SCCMOS) scheme for
0.5-v supply voltage with picoampere stand-
by current,” IEEE J. Solid-State Circuits,
vol. 35, no. 10, pp. 1498–1501, Oct. 2000.
[8] F. Assaderaghi, D. Sinitsky, S. A. Parke, J.
Bokor, P. K. Ko, and C. Hu, “Dynamic
threshold-voltage MOSFET (DTMOS) for
ultra-lowvoltage VLSI,” IEEE Trans.
Electron Devices, vol. 44, no. 3, pp. 414–
422, Mar.1997.
[9] K. Kumagai, H. Iwaki, H. Yoshida, H.
Suzuki, T. Yamada, and S.Kurosawa, “A
novel powering-down scheme for low Vt
CMOS circuits,” in Dig. Tech. Papers,
Symp. VLSI Circuits, 1998, pp. 44–45.
[10] I. Hyunsik, T. Inukai, H. Gomyo, T.
Hiramoto, and T. Sakurai, “VTCMOS
characteristics and its optimum conditions
predicted by a compact analytical model,” in
Proc. Int. Symp. Low Power Electron. Des.,
2001, pp. 123–128.

More Related Content

What's hot

Leakage effects in mos-fets
Leakage effects in mos-fetsLeakage effects in mos-fets
Leakage effects in mos-fets
Arya Ls
 
Safe switchgear specifications javid iqbal sodagar
Safe switchgear specifications javid iqbal sodagarSafe switchgear specifications javid iqbal sodagar
Safe switchgear specifications javid iqbal sodagar
javid iqbal sodagar
 
Design of ultra low power 8 channel analog multiplexer using dynamic threshol...
Design of ultra low power 8 channel analog multiplexer using dynamic threshol...Design of ultra low power 8 channel analog multiplexer using dynamic threshol...
Design of ultra low power 8 channel analog multiplexer using dynamic threshol...
VLSICS Design
 
An Improved the High Voltage Boost Inversion Ability of Switched Inductor Qua...
An Improved the High Voltage Boost Inversion Ability of Switched Inductor Qua...An Improved the High Voltage Boost Inversion Ability of Switched Inductor Qua...
An Improved the High Voltage Boost Inversion Ability of Switched Inductor Qua...
IJSRD
 
Low Power Design Approach in VLSI
Low Power Design Approach in VLSILow Power Design Approach in VLSI
Low Power Design Approach in VLSI
Silicon Mentor
 
Ijecev6n1 03
Ijecev6n1 03Ijecev6n1 03
Ijecev6n1 03
Bindu Madhavi
 
Life Cycle of Big Data Analysis by using MapReduce Algorithm
Life Cycle of Big Data Analysis by using MapReduce AlgorithmLife Cycle of Big Data Analysis by using MapReduce Algorithm
Life Cycle of Big Data Analysis by using MapReduce Algorithm
IRJET Journal
 
IRJET- Design and Analysis of Current Starved and Differential Pair VCO for L...
IRJET- Design and Analysis of Current Starved and Differential Pair VCO for L...IRJET- Design and Analysis of Current Starved and Differential Pair VCO for L...
IRJET- Design and Analysis of Current Starved and Differential Pair VCO for L...
IRJET Journal
 
Power Dissipation of VLSI Circuits and Modern Techniques of Designing Low Pow...
Power Dissipation of VLSI Circuits and Modern Techniques of Designing Low Pow...Power Dissipation of VLSI Circuits and Modern Techniques of Designing Low Pow...
Power Dissipation of VLSI Circuits and Modern Techniques of Designing Low Pow...
IJSRD
 
Ji3516041608
Ji3516041608Ji3516041608
Ji3516041608
IJERA Editor
 
Junctionless transistors
Junctionless transistorsJunctionless transistors
Junctionless transistors
Pratishtha Agnihotri
 
Power dissipation cmos
Power dissipation cmosPower dissipation cmos
Power dissipation cmos
Rajesh Tiwary
 
Improved Power Gating Technique for Leakage Power Reduction
Improved Power Gating Technique for Leakage Power ReductionImproved Power Gating Technique for Leakage Power Reduction
Improved Power Gating Technique for Leakage Power Reduction
inventy
 
Bc36330333
Bc36330333Bc36330333
Bc36330333
IJERA Editor
 
Design of Low Power, High PSRR Error Amplifier for Low Drop-Out CMOS Voltage...
Design of Low Power, High PSRR Error Amplifier for Low Drop-Out CMOS  Voltage...Design of Low Power, High PSRR Error Amplifier for Low Drop-Out CMOS  Voltage...
Design of Low Power, High PSRR Error Amplifier for Low Drop-Out CMOS Voltage...
IJEEE
 
F044082128
F044082128F044082128
F044082128
IJERA Editor
 
Analsis of very fast transient over voltages in gas insulated substations
Analsis of very fast transient over voltages in gas insulated substationsAnalsis of very fast transient over voltages in gas insulated substations
Analsis of very fast transient over voltages in gas insulated substations
eSAT Publishing House
 

What's hot (19)

12 low power techniques
12 low power techniques12 low power techniques
12 low power techniques
 
Leakage effects in mos-fets
Leakage effects in mos-fetsLeakage effects in mos-fets
Leakage effects in mos-fets
 
Safe switchgear specifications javid iqbal sodagar
Safe switchgear specifications javid iqbal sodagarSafe switchgear specifications javid iqbal sodagar
Safe switchgear specifications javid iqbal sodagar
 
Design of ultra low power 8 channel analog multiplexer using dynamic threshol...
Design of ultra low power 8 channel analog multiplexer using dynamic threshol...Design of ultra low power 8 channel analog multiplexer using dynamic threshol...
Design of ultra low power 8 channel analog multiplexer using dynamic threshol...
 
An Improved the High Voltage Boost Inversion Ability of Switched Inductor Qua...
An Improved the High Voltage Boost Inversion Ability of Switched Inductor Qua...An Improved the High Voltage Boost Inversion Ability of Switched Inductor Qua...
An Improved the High Voltage Boost Inversion Ability of Switched Inductor Qua...
 
Low Power Design Approach in VLSI
Low Power Design Approach in VLSILow Power Design Approach in VLSI
Low Power Design Approach in VLSI
 
Ijecev6n1 03
Ijecev6n1 03Ijecev6n1 03
Ijecev6n1 03
 
Life Cycle of Big Data Analysis by using MapReduce Algorithm
Life Cycle of Big Data Analysis by using MapReduce AlgorithmLife Cycle of Big Data Analysis by using MapReduce Algorithm
Life Cycle of Big Data Analysis by using MapReduce Algorithm
 
IRJET- Design and Analysis of Current Starved and Differential Pair VCO for L...
IRJET- Design and Analysis of Current Starved and Differential Pair VCO for L...IRJET- Design and Analysis of Current Starved and Differential Pair VCO for L...
IRJET- Design and Analysis of Current Starved and Differential Pair VCO for L...
 
Bg26386390
Bg26386390Bg26386390
Bg26386390
 
Power Dissipation of VLSI Circuits and Modern Techniques of Designing Low Pow...
Power Dissipation of VLSI Circuits and Modern Techniques of Designing Low Pow...Power Dissipation of VLSI Circuits and Modern Techniques of Designing Low Pow...
Power Dissipation of VLSI Circuits and Modern Techniques of Designing Low Pow...
 
Ji3516041608
Ji3516041608Ji3516041608
Ji3516041608
 
Junctionless transistors
Junctionless transistorsJunctionless transistors
Junctionless transistors
 
Power dissipation cmos
Power dissipation cmosPower dissipation cmos
Power dissipation cmos
 
Improved Power Gating Technique for Leakage Power Reduction
Improved Power Gating Technique for Leakage Power ReductionImproved Power Gating Technique for Leakage Power Reduction
Improved Power Gating Technique for Leakage Power Reduction
 
Bc36330333
Bc36330333Bc36330333
Bc36330333
 
Design of Low Power, High PSRR Error Amplifier for Low Drop-Out CMOS Voltage...
Design of Low Power, High PSRR Error Amplifier for Low Drop-Out CMOS  Voltage...Design of Low Power, High PSRR Error Amplifier for Low Drop-Out CMOS  Voltage...
Design of Low Power, High PSRR Error Amplifier for Low Drop-Out CMOS Voltage...
 
F044082128
F044082128F044082128
F044082128
 
Analsis of very fast transient over voltages in gas insulated substations
Analsis of very fast transient over voltages in gas insulated substationsAnalsis of very fast transient over voltages in gas insulated substations
Analsis of very fast transient over voltages in gas insulated substations
 

Viewers also liked

Dynamic Analysis of Foundation Supporting Rotary Machine
Dynamic Analysis of Foundation Supporting Rotary MachineDynamic Analysis of Foundation Supporting Rotary Machine
Dynamic Analysis of Foundation Supporting Rotary Machine
IJERA Editor
 
Finger Millet: A Potential Source For Production of Gluten Free Beer
Finger Millet: A Potential Source For Production of Gluten Free BeerFinger Millet: A Potential Source For Production of Gluten Free Beer
Finger Millet: A Potential Source For Production of Gluten Free Beer
IJERA Editor
 
Study of Traffic Volume and Level of Service of Panjab University, Chandigarh
Study of Traffic Volume and Level of Service of Panjab University, ChandigarhStudy of Traffic Volume and Level of Service of Panjab University, Chandigarh
Study of Traffic Volume and Level of Service of Panjab University, Chandigarh
IJERA Editor
 
Study on Alkali-Activated Concrete Containing High Volume GGBS with 30% Cemen...
Study on Alkali-Activated Concrete Containing High Volume GGBS with 30% Cemen...Study on Alkali-Activated Concrete Containing High Volume GGBS with 30% Cemen...
Study on Alkali-Activated Concrete Containing High Volume GGBS with 30% Cemen...
IJERA Editor
 
Classification of Abnormalities in Brain MRI Images Using PCA and SVM
Classification of Abnormalities in Brain MRI Images Using PCA and SVMClassification of Abnormalities in Brain MRI Images Using PCA and SVM
Classification of Abnormalities in Brain MRI Images Using PCA and SVM
IJERA Editor
 
Acoustic Analysis of Commercially Available Timber Species in Nigeria
Acoustic Analysis of Commercially Available Timber Species in NigeriaAcoustic Analysis of Commercially Available Timber Species in Nigeria
Acoustic Analysis of Commercially Available Timber Species in Nigeria
IJERA Editor
 
Optimization of WEDM parameters using Taguchi method for higher material remo...
Optimization of WEDM parameters using Taguchi method for higher material remo...Optimization of WEDM parameters using Taguchi method for higher material remo...
Optimization of WEDM parameters using Taguchi method for higher material remo...
IJERA Editor
 
Analytical Investigation and Comparison on Performance of Ss316, Ss440c and T...
Analytical Investigation and Comparison on Performance of Ss316, Ss440c and T...Analytical Investigation and Comparison on Performance of Ss316, Ss440c and T...
Analytical Investigation and Comparison on Performance of Ss316, Ss440c and T...
IJERA Editor
 
Experimental Investigations to Study the Impact of Machining Parameters on Mi...
Experimental Investigations to Study the Impact of Machining Parameters on Mi...Experimental Investigations to Study the Impact of Machining Parameters on Mi...
Experimental Investigations to Study the Impact of Machining Parameters on Mi...
IJERA Editor
 
Analysis and Comparison of CMOS Comparator At 90 NM Technology
Analysis and Comparison of CMOS Comparator At 90 NM TechnologyAnalysis and Comparison of CMOS Comparator At 90 NM Technology
Analysis and Comparison of CMOS Comparator At 90 NM Technology
IJERA Editor
 
Based on prospect theory of pedestrian impact analysis
Based on prospect theory of pedestrian impact analysisBased on prospect theory of pedestrian impact analysis
Based on prospect theory of pedestrian impact analysis
IJERA Editor
 
Optimization of Process Parameters in Wire Electrical Discharge Machining of ...
Optimization of Process Parameters in Wire Electrical Discharge Machining of ...Optimization of Process Parameters in Wire Electrical Discharge Machining of ...
Optimization of Process Parameters in Wire Electrical Discharge Machining of ...
IJERA Editor
 
Interfacing and Commissioning of Motor and Drive to the Tandem test jig
Interfacing and Commissioning of Motor and Drive to the Tandem test jigInterfacing and Commissioning of Motor and Drive to the Tandem test jig
Interfacing and Commissioning of Motor and Drive to the Tandem test jig
IJERA Editor
 
Design and Implementation of an Efficient 64 bit MAC
Design and Implementation of an Efficient 64 bit MACDesign and Implementation of an Efficient 64 bit MAC
Design and Implementation of an Efficient 64 bit MAC
IJERA Editor
 
Review on Environment Monitoring System and Energy Efficiency
Review on Environment Monitoring System and Energy EfficiencyReview on Environment Monitoring System and Energy Efficiency
Review on Environment Monitoring System and Energy Efficiency
IJERA Editor
 
Fault Analysis of DFIG under Grid Disturbances
Fault Analysis of DFIG under Grid DisturbancesFault Analysis of DFIG under Grid Disturbances
Fault Analysis of DFIG under Grid Disturbances
IJERA Editor
 
An Approach Towards Lossless Compression Through Artificial Neural Network Te...
An Approach Towards Lossless Compression Through Artificial Neural Network Te...An Approach Towards Lossless Compression Through Artificial Neural Network Te...
An Approach Towards Lossless Compression Through Artificial Neural Network Te...
IJERA Editor
 
Recovery method to mitigate the effect of NBTI on SRAM cells
Recovery method to mitigate the effect of NBTI on SRAM cellsRecovery method to mitigate the effect of NBTI on SRAM cells
Recovery method to mitigate the effect of NBTI on SRAM cells
IJERA Editor
 

Viewers also liked (18)

Dynamic Analysis of Foundation Supporting Rotary Machine
Dynamic Analysis of Foundation Supporting Rotary MachineDynamic Analysis of Foundation Supporting Rotary Machine
Dynamic Analysis of Foundation Supporting Rotary Machine
 
Finger Millet: A Potential Source For Production of Gluten Free Beer
Finger Millet: A Potential Source For Production of Gluten Free BeerFinger Millet: A Potential Source For Production of Gluten Free Beer
Finger Millet: A Potential Source For Production of Gluten Free Beer
 
Study of Traffic Volume and Level of Service of Panjab University, Chandigarh
Study of Traffic Volume and Level of Service of Panjab University, ChandigarhStudy of Traffic Volume and Level of Service of Panjab University, Chandigarh
Study of Traffic Volume and Level of Service of Panjab University, Chandigarh
 
Study on Alkali-Activated Concrete Containing High Volume GGBS with 30% Cemen...
Study on Alkali-Activated Concrete Containing High Volume GGBS with 30% Cemen...Study on Alkali-Activated Concrete Containing High Volume GGBS with 30% Cemen...
Study on Alkali-Activated Concrete Containing High Volume GGBS with 30% Cemen...
 
Classification of Abnormalities in Brain MRI Images Using PCA and SVM
Classification of Abnormalities in Brain MRI Images Using PCA and SVMClassification of Abnormalities in Brain MRI Images Using PCA and SVM
Classification of Abnormalities in Brain MRI Images Using PCA and SVM
 
Acoustic Analysis of Commercially Available Timber Species in Nigeria
Acoustic Analysis of Commercially Available Timber Species in NigeriaAcoustic Analysis of Commercially Available Timber Species in Nigeria
Acoustic Analysis of Commercially Available Timber Species in Nigeria
 
Optimization of WEDM parameters using Taguchi method for higher material remo...
Optimization of WEDM parameters using Taguchi method for higher material remo...Optimization of WEDM parameters using Taguchi method for higher material remo...
Optimization of WEDM parameters using Taguchi method for higher material remo...
 
Analytical Investigation and Comparison on Performance of Ss316, Ss440c and T...
Analytical Investigation and Comparison on Performance of Ss316, Ss440c and T...Analytical Investigation and Comparison on Performance of Ss316, Ss440c and T...
Analytical Investigation and Comparison on Performance of Ss316, Ss440c and T...
 
Experimental Investigations to Study the Impact of Machining Parameters on Mi...
Experimental Investigations to Study the Impact of Machining Parameters on Mi...Experimental Investigations to Study the Impact of Machining Parameters on Mi...
Experimental Investigations to Study the Impact of Machining Parameters on Mi...
 
Analysis and Comparison of CMOS Comparator At 90 NM Technology
Analysis and Comparison of CMOS Comparator At 90 NM TechnologyAnalysis and Comparison of CMOS Comparator At 90 NM Technology
Analysis and Comparison of CMOS Comparator At 90 NM Technology
 
Based on prospect theory of pedestrian impact analysis
Based on prospect theory of pedestrian impact analysisBased on prospect theory of pedestrian impact analysis
Based on prospect theory of pedestrian impact analysis
 
Optimization of Process Parameters in Wire Electrical Discharge Machining of ...
Optimization of Process Parameters in Wire Electrical Discharge Machining of ...Optimization of Process Parameters in Wire Electrical Discharge Machining of ...
Optimization of Process Parameters in Wire Electrical Discharge Machining of ...
 
Interfacing and Commissioning of Motor and Drive to the Tandem test jig
Interfacing and Commissioning of Motor and Drive to the Tandem test jigInterfacing and Commissioning of Motor and Drive to the Tandem test jig
Interfacing and Commissioning of Motor and Drive to the Tandem test jig
 
Design and Implementation of an Efficient 64 bit MAC
Design and Implementation of an Efficient 64 bit MACDesign and Implementation of an Efficient 64 bit MAC
Design and Implementation of an Efficient 64 bit MAC
 
Review on Environment Monitoring System and Energy Efficiency
Review on Environment Monitoring System and Energy EfficiencyReview on Environment Monitoring System and Energy Efficiency
Review on Environment Monitoring System and Energy Efficiency
 
Fault Analysis of DFIG under Grid Disturbances
Fault Analysis of DFIG under Grid DisturbancesFault Analysis of DFIG under Grid Disturbances
Fault Analysis of DFIG under Grid Disturbances
 
An Approach Towards Lossless Compression Through Artificial Neural Network Te...
An Approach Towards Lossless Compression Through Artificial Neural Network Te...An Approach Towards Lossless Compression Through Artificial Neural Network Te...
An Approach Towards Lossless Compression Through Artificial Neural Network Te...
 
Recovery method to mitigate the effect of NBTI on SRAM cells
Recovery method to mitigate the effect of NBTI on SRAM cellsRecovery method to mitigate the effect of NBTI on SRAM cells
Recovery method to mitigate the effect of NBTI on SRAM cells
 

Similar to Design of Memory Cell for Low Power Applications

NOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITS
NOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITSNOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITS
NOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITS
VLSICS Design
 
NOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITS
NOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITSNOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITS
NOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITS
VLSICS Design
 
A novel approach for leakage power reduction techniques in 65nm technologies
A novel approach for leakage power reduction techniques in 65nm technologiesA novel approach for leakage power reduction techniques in 65nm technologies
A novel approach for leakage power reduction techniques in 65nm technologies
VLSICS Design
 
A NOVEL APPROACH FOR LEAKAGE POWER REDUCTION TECHNIQUES IN 65NM TECHNOLOGIES
A NOVEL APPROACH FOR LEAKAGE POWER REDUCTION TECHNIQUES IN 65NM TECHNOLOGIESA NOVEL APPROACH FOR LEAKAGE POWER REDUCTION TECHNIQUES IN 65NM TECHNOLOGIES
A NOVEL APPROACH FOR LEAKAGE POWER REDUCTION TECHNIQUES IN 65NM TECHNOLOGIES
VLSICS Design
 
Power Gating Based Ground Bounce Noise Reduction
Power Gating Based Ground Bounce Noise ReductionPower Gating Based Ground Bounce Noise Reduction
Power Gating Based Ground Bounce Noise Reduction
IJERA Editor
 
Low Power Design of Standard Digital Gate Design Using Novel Sleep Transisto...
Low Power Design of Standard Digital Gate Design Using Novel  Sleep Transisto...Low Power Design of Standard Digital Gate Design Using Novel  Sleep Transisto...
Low Power Design of Standard Digital Gate Design Using Novel Sleep Transisto...
IJMER
 
Ijetcas14 632
Ijetcas14 632Ijetcas14 632
Ijetcas14 632
Iasir Journals
 
low pw and leakage current techniques for cmos circuits
low pw and leakage current techniques for cmos circuitslow pw and leakage current techniques for cmos circuits
low pw and leakage current techniques for cmos circuits
Anamika Pancholi
 
Optimal Body Biasing Technique for CMOS Tapered Buffer
Optimal Body Biasing Technique for  CMOS Tapered Buffer Optimal Body Biasing Technique for  CMOS Tapered Buffer
Optimal Body Biasing Technique for CMOS Tapered Buffer
IJEEE
 
Cp4201612617
Cp4201612617Cp4201612617
Cp4201612617
IJERA Editor
 
Leakage Power Reduction Techniques Revisited in a CMOS Inverter Circuit at De...
Leakage Power Reduction Techniques Revisited in a CMOS Inverter Circuit at De...Leakage Power Reduction Techniques Revisited in a CMOS Inverter Circuit at De...
Leakage Power Reduction Techniques Revisited in a CMOS Inverter Circuit at De...
idescitation
 
FORCED STACK SLEEP TRANSISTOR (FORTRAN): A NEW LEAKAGE CURRENT REDUCTION APPR...
FORCED STACK SLEEP TRANSISTOR (FORTRAN): A NEW LEAKAGE CURRENT REDUCTION APPR...FORCED STACK SLEEP TRANSISTOR (FORTRAN): A NEW LEAKAGE CURRENT REDUCTION APPR...
FORCED STACK SLEEP TRANSISTOR (FORTRAN): A NEW LEAKAGE CURRENT REDUCTION APPR...
VIT-AP University
 
A low power front end analog multiplexing unit for 12 lead ecg signal acquisi...
A low power front end analog multiplexing unit for 12 lead ecg signal acquisi...A low power front end analog multiplexing unit for 12 lead ecg signal acquisi...
A low power front end analog multiplexing unit for 12 lead ecg signal acquisi...
VLSICS Design
 
Low Power Design Techniques for ASIC / SOC Design
Low Power Design Techniques for ASIC / SOC DesignLow Power Design Techniques for ASIC / SOC Design
Low Power Design Techniques for ASIC / SOC Design
Rajesh_navandar
 
Variable Threshold MOSFET Approach (Through Dynamic Threshold MOSFET) For Uni...
Variable Threshold MOSFET Approach (Through Dynamic Threshold MOSFET) For Uni...Variable Threshold MOSFET Approach (Through Dynamic Threshold MOSFET) For Uni...
Variable Threshold MOSFET Approach (Through Dynamic Threshold MOSFET) For Uni...
VLSICS Design
 
8LowPowerVLSI THIRD MODULE 2019 SCHEME.pdf
8LowPowerVLSI THIRD MODULE 2019 SCHEME.pdf8LowPowerVLSI THIRD MODULE 2019 SCHEME.pdf
8LowPowerVLSI THIRD MODULE 2019 SCHEME.pdf
RintuKurian3
 
High Performance and Low power VLSI CMOS Circuit Designs using ONOFIC Approach
High Performance and Low power VLSI CMOS Circuit Designs using ONOFIC Approach High Performance and Low power VLSI CMOS Circuit Designs using ONOFIC Approach
High Performance and Low power VLSI CMOS Circuit Designs using ONOFIC Approach
IJERA Editor
 
STAND-BY LEAKAGE POWER REDUCTION IN NANOSCALE STATIC CMOS VLSI MULTIPLIER CIR...
STAND-BY LEAKAGE POWER REDUCTION IN NANOSCALE STATIC CMOS VLSI MULTIPLIER CIR...STAND-BY LEAKAGE POWER REDUCTION IN NANOSCALE STATIC CMOS VLSI MULTIPLIER CIR...
STAND-BY LEAKAGE POWER REDUCTION IN NANOSCALE STATIC CMOS VLSI MULTIPLIER CIR...
VLSICS Design
 
A Sub Threshold Source Coupled Logic Based Design of Low Power CMOS Analog Mu...
A Sub Threshold Source Coupled Logic Based Design of Low Power CMOS Analog Mu...A Sub Threshold Source Coupled Logic Based Design of Low Power CMOS Analog Mu...
A Sub Threshold Source Coupled Logic Based Design of Low Power CMOS Analog Mu...
VLSICS Design
 
Design of 64 bit SRAM using Lector Technique for Low Leakage Power with Read ...
Design of 64 bit SRAM using Lector Technique for Low Leakage Power with Read ...Design of 64 bit SRAM using Lector Technique for Low Leakage Power with Read ...
Design of 64 bit SRAM using Lector Technique for Low Leakage Power with Read ...
IOSRJVSP
 

Similar to Design of Memory Cell for Low Power Applications (20)

NOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITS
NOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITSNOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITS
NOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITS
 
NOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITS
NOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITSNOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITS
NOVEL SLEEP TRANSISTOR TECHNIQUES FOR LOW LEAKAGE POWER PERIPHERAL CIRCUITS
 
A novel approach for leakage power reduction techniques in 65nm technologies
A novel approach for leakage power reduction techniques in 65nm technologiesA novel approach for leakage power reduction techniques in 65nm technologies
A novel approach for leakage power reduction techniques in 65nm technologies
 
A NOVEL APPROACH FOR LEAKAGE POWER REDUCTION TECHNIQUES IN 65NM TECHNOLOGIES
A NOVEL APPROACH FOR LEAKAGE POWER REDUCTION TECHNIQUES IN 65NM TECHNOLOGIESA NOVEL APPROACH FOR LEAKAGE POWER REDUCTION TECHNIQUES IN 65NM TECHNOLOGIES
A NOVEL APPROACH FOR LEAKAGE POWER REDUCTION TECHNIQUES IN 65NM TECHNOLOGIES
 
Power Gating Based Ground Bounce Noise Reduction
Power Gating Based Ground Bounce Noise ReductionPower Gating Based Ground Bounce Noise Reduction
Power Gating Based Ground Bounce Noise Reduction
 
Low Power Design of Standard Digital Gate Design Using Novel Sleep Transisto...
Low Power Design of Standard Digital Gate Design Using Novel  Sleep Transisto...Low Power Design of Standard Digital Gate Design Using Novel  Sleep Transisto...
Low Power Design of Standard Digital Gate Design Using Novel Sleep Transisto...
 
Ijetcas14 632
Ijetcas14 632Ijetcas14 632
Ijetcas14 632
 
low pw and leakage current techniques for cmos circuits
low pw and leakage current techniques for cmos circuitslow pw and leakage current techniques for cmos circuits
low pw and leakage current techniques for cmos circuits
 
Optimal Body Biasing Technique for CMOS Tapered Buffer
Optimal Body Biasing Technique for  CMOS Tapered Buffer Optimal Body Biasing Technique for  CMOS Tapered Buffer
Optimal Body Biasing Technique for CMOS Tapered Buffer
 
Cp4201612617
Cp4201612617Cp4201612617
Cp4201612617
 
Leakage Power Reduction Techniques Revisited in a CMOS Inverter Circuit at De...
Leakage Power Reduction Techniques Revisited in a CMOS Inverter Circuit at De...Leakage Power Reduction Techniques Revisited in a CMOS Inverter Circuit at De...
Leakage Power Reduction Techniques Revisited in a CMOS Inverter Circuit at De...
 
FORCED STACK SLEEP TRANSISTOR (FORTRAN): A NEW LEAKAGE CURRENT REDUCTION APPR...
FORCED STACK SLEEP TRANSISTOR (FORTRAN): A NEW LEAKAGE CURRENT REDUCTION APPR...FORCED STACK SLEEP TRANSISTOR (FORTRAN): A NEW LEAKAGE CURRENT REDUCTION APPR...
FORCED STACK SLEEP TRANSISTOR (FORTRAN): A NEW LEAKAGE CURRENT REDUCTION APPR...
 
A low power front end analog multiplexing unit for 12 lead ecg signal acquisi...
A low power front end analog multiplexing unit for 12 lead ecg signal acquisi...A low power front end analog multiplexing unit for 12 lead ecg signal acquisi...
A low power front end analog multiplexing unit for 12 lead ecg signal acquisi...
 
Low Power Design Techniques for ASIC / SOC Design
Low Power Design Techniques for ASIC / SOC DesignLow Power Design Techniques for ASIC / SOC Design
Low Power Design Techniques for ASIC / SOC Design
 
Variable Threshold MOSFET Approach (Through Dynamic Threshold MOSFET) For Uni...
Variable Threshold MOSFET Approach (Through Dynamic Threshold MOSFET) For Uni...Variable Threshold MOSFET Approach (Through Dynamic Threshold MOSFET) For Uni...
Variable Threshold MOSFET Approach (Through Dynamic Threshold MOSFET) For Uni...
 
8LowPowerVLSI THIRD MODULE 2019 SCHEME.pdf
8LowPowerVLSI THIRD MODULE 2019 SCHEME.pdf8LowPowerVLSI THIRD MODULE 2019 SCHEME.pdf
8LowPowerVLSI THIRD MODULE 2019 SCHEME.pdf
 
High Performance and Low power VLSI CMOS Circuit Designs using ONOFIC Approach
High Performance and Low power VLSI CMOS Circuit Designs using ONOFIC Approach High Performance and Low power VLSI CMOS Circuit Designs using ONOFIC Approach
High Performance and Low power VLSI CMOS Circuit Designs using ONOFIC Approach
 
STAND-BY LEAKAGE POWER REDUCTION IN NANOSCALE STATIC CMOS VLSI MULTIPLIER CIR...
STAND-BY LEAKAGE POWER REDUCTION IN NANOSCALE STATIC CMOS VLSI MULTIPLIER CIR...STAND-BY LEAKAGE POWER REDUCTION IN NANOSCALE STATIC CMOS VLSI MULTIPLIER CIR...
STAND-BY LEAKAGE POWER REDUCTION IN NANOSCALE STATIC CMOS VLSI MULTIPLIER CIR...
 
A Sub Threshold Source Coupled Logic Based Design of Low Power CMOS Analog Mu...
A Sub Threshold Source Coupled Logic Based Design of Low Power CMOS Analog Mu...A Sub Threshold Source Coupled Logic Based Design of Low Power CMOS Analog Mu...
A Sub Threshold Source Coupled Logic Based Design of Low Power CMOS Analog Mu...
 
Design of 64 bit SRAM using Lector Technique for Low Leakage Power with Read ...
Design of 64 bit SRAM using Lector Technique for Low Leakage Power with Read ...Design of 64 bit SRAM using Lector Technique for Low Leakage Power with Read ...
Design of 64 bit SRAM using Lector Technique for Low Leakage Power with Read ...
 

Recently uploaded

Sachpazis:Terzaghi Bearing Capacity Estimation in simple terms with Calculati...
Sachpazis:Terzaghi Bearing Capacity Estimation in simple terms with Calculati...Sachpazis:Terzaghi Bearing Capacity Estimation in simple terms with Calculati...
Sachpazis:Terzaghi Bearing Capacity Estimation in simple terms with Calculati...
Dr.Costas Sachpazis
 
The role of big data in decision making.
The role of big data in decision making.The role of big data in decision making.
The role of big data in decision making.
ankuprajapati0525
 
COLLEGE BUS MANAGEMENT SYSTEM PROJECT REPORT.pdf
COLLEGE BUS MANAGEMENT SYSTEM PROJECT REPORT.pdfCOLLEGE BUS MANAGEMENT SYSTEM PROJECT REPORT.pdf
COLLEGE BUS MANAGEMENT SYSTEM PROJECT REPORT.pdf
Kamal Acharya
 
Nuclear Power Economics and Structuring 2024
Nuclear Power Economics and Structuring 2024Nuclear Power Economics and Structuring 2024
Nuclear Power Economics and Structuring 2024
Massimo Talia
 
Railway Signalling Principles Edition 3.pdf
Railway Signalling Principles Edition 3.pdfRailway Signalling Principles Edition 3.pdf
Railway Signalling Principles Edition 3.pdf
TeeVichai
 
DESIGN A COTTON SEED SEPARATION MACHINE.docx
DESIGN A COTTON SEED SEPARATION MACHINE.docxDESIGN A COTTON SEED SEPARATION MACHINE.docx
DESIGN A COTTON SEED SEPARATION MACHINE.docx
FluxPrime1
 
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
Amil Baba Dawood bangali
 
The Benefits and Techniques of Trenchless Pipe Repair.pdf
The Benefits and Techniques of Trenchless Pipe Repair.pdfThe Benefits and Techniques of Trenchless Pipe Repair.pdf
The Benefits and Techniques of Trenchless Pipe Repair.pdf
Pipe Restoration Solutions
 
Forklift Classes Overview by Intella Parts
Forklift Classes Overview by Intella PartsForklift Classes Overview by Intella Parts
Forklift Classes Overview by Intella Parts
Intella Parts
 
Courier management system project report.pdf
Courier management system project report.pdfCourier management system project report.pdf
Courier management system project report.pdf
Kamal Acharya
 
Industrial Training at Shahjalal Fertilizer Company Limited (SFCL)
Industrial Training at Shahjalal Fertilizer Company Limited (SFCL)Industrial Training at Shahjalal Fertilizer Company Limited (SFCL)
Industrial Training at Shahjalal Fertilizer Company Limited (SFCL)
MdTanvirMahtab2
 
HYDROPOWER - Hydroelectric power generation
HYDROPOWER - Hydroelectric power generationHYDROPOWER - Hydroelectric power generation
HYDROPOWER - Hydroelectric power generation
Robbie Edward Sayers
 
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
bakpo1
 
Student information management system project report ii.pdf
Student information management system project report ii.pdfStudent information management system project report ii.pdf
Student information management system project report ii.pdf
Kamal Acharya
 
road safety engineering r s e unit 3.pdf
road safety engineering  r s e unit 3.pdfroad safety engineering  r s e unit 3.pdf
road safety engineering r s e unit 3.pdf
VENKATESHvenky89705
 
Gen AI Study Jams _ For the GDSC Leads in India.pdf
Gen AI Study Jams _ For the GDSC Leads in India.pdfGen AI Study Jams _ For the GDSC Leads in India.pdf
Gen AI Study Jams _ For the GDSC Leads in India.pdf
gdsczhcet
 
Automobile Management System Project Report.pdf
Automobile Management System Project Report.pdfAutomobile Management System Project Report.pdf
Automobile Management System Project Report.pdf
Kamal Acharya
 
ASME IX(9) 2007 Full Version .pdf
ASME IX(9)  2007 Full Version       .pdfASME IX(9)  2007 Full Version       .pdf
ASME IX(9) 2007 Full Version .pdf
AhmedHussein950959
 
Final project report on grocery store management system..pdf
Final project report on grocery store management system..pdfFinal project report on grocery store management system..pdf
Final project report on grocery store management system..pdf
Kamal Acharya
 
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
obonagu
 

Recently uploaded (20)

Sachpazis:Terzaghi Bearing Capacity Estimation in simple terms with Calculati...
Sachpazis:Terzaghi Bearing Capacity Estimation in simple terms with Calculati...Sachpazis:Terzaghi Bearing Capacity Estimation in simple terms with Calculati...
Sachpazis:Terzaghi Bearing Capacity Estimation in simple terms with Calculati...
 
The role of big data in decision making.
The role of big data in decision making.The role of big data in decision making.
The role of big data in decision making.
 
COLLEGE BUS MANAGEMENT SYSTEM PROJECT REPORT.pdf
COLLEGE BUS MANAGEMENT SYSTEM PROJECT REPORT.pdfCOLLEGE BUS MANAGEMENT SYSTEM PROJECT REPORT.pdf
COLLEGE BUS MANAGEMENT SYSTEM PROJECT REPORT.pdf
 
Nuclear Power Economics and Structuring 2024
Nuclear Power Economics and Structuring 2024Nuclear Power Economics and Structuring 2024
Nuclear Power Economics and Structuring 2024
 
Railway Signalling Principles Edition 3.pdf
Railway Signalling Principles Edition 3.pdfRailway Signalling Principles Edition 3.pdf
Railway Signalling Principles Edition 3.pdf
 
DESIGN A COTTON SEED SEPARATION MACHINE.docx
DESIGN A COTTON SEED SEPARATION MACHINE.docxDESIGN A COTTON SEED SEPARATION MACHINE.docx
DESIGN A COTTON SEED SEPARATION MACHINE.docx
 
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
 
The Benefits and Techniques of Trenchless Pipe Repair.pdf
The Benefits and Techniques of Trenchless Pipe Repair.pdfThe Benefits and Techniques of Trenchless Pipe Repair.pdf
The Benefits and Techniques of Trenchless Pipe Repair.pdf
 
Forklift Classes Overview by Intella Parts
Forklift Classes Overview by Intella PartsForklift Classes Overview by Intella Parts
Forklift Classes Overview by Intella Parts
 
Courier management system project report.pdf
Courier management system project report.pdfCourier management system project report.pdf
Courier management system project report.pdf
 
Industrial Training at Shahjalal Fertilizer Company Limited (SFCL)
Industrial Training at Shahjalal Fertilizer Company Limited (SFCL)Industrial Training at Shahjalal Fertilizer Company Limited (SFCL)
Industrial Training at Shahjalal Fertilizer Company Limited (SFCL)
 
HYDROPOWER - Hydroelectric power generation
HYDROPOWER - Hydroelectric power generationHYDROPOWER - Hydroelectric power generation
HYDROPOWER - Hydroelectric power generation
 
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
一比一原版(SFU毕业证)西蒙菲莎大学毕业证成绩单如何办理
 
Student information management system project report ii.pdf
Student information management system project report ii.pdfStudent information management system project report ii.pdf
Student information management system project report ii.pdf
 
road safety engineering r s e unit 3.pdf
road safety engineering  r s e unit 3.pdfroad safety engineering  r s e unit 3.pdf
road safety engineering r s e unit 3.pdf
 
Gen AI Study Jams _ For the GDSC Leads in India.pdf
Gen AI Study Jams _ For the GDSC Leads in India.pdfGen AI Study Jams _ For the GDSC Leads in India.pdf
Gen AI Study Jams _ For the GDSC Leads in India.pdf
 
Automobile Management System Project Report.pdf
Automobile Management System Project Report.pdfAutomobile Management System Project Report.pdf
Automobile Management System Project Report.pdf
 
ASME IX(9) 2007 Full Version .pdf
ASME IX(9)  2007 Full Version       .pdfASME IX(9)  2007 Full Version       .pdf
ASME IX(9) 2007 Full Version .pdf
 
Final project report on grocery store management system..pdf
Final project report on grocery store management system..pdfFinal project report on grocery store management system..pdf
Final project report on grocery store management system..pdf
 
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
 

Design of Memory Cell for Low Power Applications

  • 1. N.geetha Rani Int. Journal of Engineering Research and Applications www.ijera.com ISSN: 2248-9622, Vol. 5, Issue 8, (Part - 1) August 2015, pp.83-86 www.ijera.com 83 | P a g e Design of Memory Cell for Low Power Applications N.geetha Rani* , DR.P.Chandrasekhar Reddy** * Associate Professor, ECE Department, Ravindra College of Engineering for Women, Kurnool, A.P ** Professor, ECE Department, JNTUH College of Engineering, Hyderabad, A.P ABSTRACT Aggressive CMOS scaling results in lower threshold voltage and thin oxide thickness for transistors manufactured in nano regime. As a result, reducing the sub-threshold and tunneling gate leakage currents has become crucial in the design of ICs. This paper presents a new method to reduce the total leakage power dissipation of static random access memories (SRAMs) while maintaining their performance. Keywords - Leakage currents, SRAM, power consumption I. INTRODUCTION With diminishing process feature sizes and operating voltages, the control of leakage currents in modern VLSI designs is becoming a significant challenge. Leakage currents increase exponentially with decreasing threshold voltages. The power consumed by a design in the standby mode of operation is due to leakage currents in its devices. With the prevalence of portable electronics, it is crucial to keep the leakage currents of a design small in order to ensure a long battery life in the standby mode of operation. The leakage current for a PMOS or NMOS device corresponds to the Idsof the device when the device is in the cutoff or sub-threshold region of operation. The expression for this current [2] is Ids=W/L Ioe(Vgs-VT-Voff/ηVt) (1-e(-Vds/Vt) ) (1) Here Io and Voff (typically Voff = -.08V) are constants, while Vt is the thermal voltage (26 mV at 300 K) and η is the sub-threshold swing parameter. We note that Ids increases exponentially with a decrease in VT . This is why a reduction in supply voltage (which is accompanied by a reduction in threshold voltage) results in exponential increase in leakage. Another observation that can be made from (1) is that Ids is significantly larger when Vds>>ηVt. For typical devices, this is satisfied when Vds~VDD. The reason for this is not only that the last term of (1) is close to unity, but also that with a large value of VDS.VT would be lowered due to drain induced barrier lowering (DIBL) VT decreases approximately linearly with increasing Vds [3], [2]. Therefore, leakage reduction techniques should ensure that the supply voltage is not applied across a single device, as far as possible. In recent times, leakage power reduction has received much attention in academic research as well as industrial applications. Several means of reducing leakage power have been proposed. In [8], the authors propose a dynamic threshold MOSFET design for low leakage applications. In this scheme, the device gate is connected to the bulk, resulting in high-speed switching and low leakage currents through body effect control. The drawback of this approach is that it is only applicable in situations where is lower than the diode turn-on voltage. Also, the increased capacitance of the gate signal slows the device down, and as a result, the authors propose the use of this technique for partially depleted silicon on insulator (SOI) designs. Another methodology for controlling leakage is the variable threshold (often called VTCMOS) approach. In such an approach, the device threshold voltages are controlled dynamically by modifying the device bulk voltage. This method offers the advantage of decreasing the leakage in standby mode while not increasing the delay in the active mode.. However, complex control circuitry is required to generate and control the bulk voltages. Another drawback is that the bulk terminals have to be electrically isolated from the source terminals of the devices and this may require some major changes in cell layout. In another approach called the super cut- off CMOS (SCCMOS) approach, the gate of a PMOS device which gates the VDD supply is overdriven, thereby reducing the leakage dramatically. This again requires the design of complex circuitry to generate and control the special over-driven voltage values. II. MULT-THRESHOLD CMOS The concept of Multi- Threshold CMOS (MTCMOS) which has emerged as a very popular technique for standby mode leakage power reduction. In this technique, a high-threshold voltage transistor is inserted in series with the power supply and the existing design and ground as shown in Figure. The working of this circuit is as follows. During Active mode of operation, the high threshold Vt transistors are turned on, thereby facilitating normal operation of the circuit as there exists a direct RESEARCH ARTICLE OPEN ACCESS
  • 2. N.geetha Rani Int. Journal of Engineering Research and Applications www.ijera.com ISSN: 2248-9622, Vol. 5, Issue 8, (Part - 1) August 2015, pp.83-86 www.ijera.com 84 | P a g e path from the output to ground and Vdd. During Standby mode, these transistors are turned off creating a virtual power supply and ground rail and cutting off the circuit from supply. Fig. 2.1 MTCMOS Structure Since the high Vt transistors operating in standby mode forces the circuit to go to”sleep”, they are also known as sleep transistors. Thus, in this technique both standard – and high - threshold voltages are fabricated on a single chip. From a visual perspective, these high Vt sleep transistors act as a current gate to the designed circuit. For this reason, this technique is also referred to as Power Gating. Effect of Introducing Sleep Transistors in Active Mode Consider the Pull Up Network (PUN) of a static CMOS circuit. A PMOS sleep transistor is inserted between Vdd source and the PUN. During the normal mode of circuit operation, the sleep transistors can be modeled as a resistor R as shown in Figure. Assuming that the current flowing into the transistor is I, this resistance will cause a voltage drop across it, say Vsleep. Therefore, the gate driving capability reduces to Vdd −Vsleep from Vdd. This reduction in driving capability causes degradation in circuit performance. To overcome this problem, it is essential to lower the resistance R of the transistor as much as possible. This in turn implies increasing the size (width) of the the transistor, since the resistance of the transistor is inversely proportional to its width. This, however, comes at an expense of increased area and dynamic power dissipation. Conversely, a small size transistor would degrade the circuit speed. A solution to this problem would be to reduce the threshold voltage Vt but as seen from Equation 1, the sub-threshold current and hence the leakage power would increase exponentially. Hence, there is a clear trade-off between area, power and delay metrics of a circuit for low leakage designs. Figure 2.2 Sleep Transistor modeled as Resistor III. PROPOSED METHOD This paper deals with low-leakage ASIC design using specialized standard cells. Based on the discussion of the previous section, we know that Ids would be significantly larger When Vds>>ηVt. This is because VT drops due to DIBL when Vds is Large. This causes the first term of (1) to increase exponentially, while the parenthesized term of (1) is close to 1. Our approach to leakage reduction attempts to ensure that the supply voltage is applied across more than one turned-off device and one of those devices is a high-VT device. This is achieved by selectively introducing a high- VT PMOS or NMOS supply gating device. By this design choice, we obtain standard cells with both low and predictable standby leakage currents. Our goal is to design standard cells with predictably low leakage currents. To achieve this purpose, we design two variants of each standard cell. The two variants of each standard cell are designated “H” and “L.” If the inputs of a cell during the standby mode of operation are such that the output has a high value, we minimize the leakage in the pull-down network. So a footer device (a high- VT NMOS with its gate connected to standby) is used. We call such a cell the “H” variant of the standard cell. Similarly, if the inputs of a cell during the standby mode of operation are such that the output has a low value, we minimize the leakage in the pull-up network by adding a header device (a high- VT PMOS with its gate connected standby), and call such a cell the “L” variant of the standard cell. This exercise, when carried out for a NAND3 gate, yields Circuits shown in Fig. 1.Note that the MTCMOS circuit is also shown here. Although the PMOS and NMOS supply gating devices (equivalently called header and footer devices (devices shown shaded in Fig. 1) are shown in the circuit for the MTCMOS design, such devices are in practice shared by all the standard cells of a larger circuit block.
  • 3. N.geetha Rani Int. Journal of Engineering Research and Applications www.ijera.com ISSN: 2248-9622, Vol. 5, Issue 8, (Part - 1) August 2015, pp.83-86 www.ijera.com 85 | P a g e Fig. 3.1 Transistor Level Description Fig. 3.2. Layout flop-plan of HL-gates We sized the header and footer devices so that the worst-case output delay penalty over all gate input transitions was no larger than 15% as compared to the regular standard cell using low transistors IV. SIMULATION RESULTS Fig .4.1 High-Vt SRAM cell Fig .4.2 D.C Response of High-Vt SRAM cell Fig. 4.3 Low-Vt SRAM Cell Fig. 4.4 D.C Response of Low-Vt SRAM cell
  • 4. N.geetha Rani Int. Journal of Engineering Research and Applications www.ijera.com ISSN: 2248-9622, Vol. 5, Issue 8, (Part - 1) August 2015, pp.83-86 www.ijera.com 86 | P a g e eFig. 4.5 MTCMOS SRAM Cell Fig.4.6 D.C Response of SRAM Cell V. CONCLUSION In this paper, we have explored low-leakage standard cell based ASIC design methodologies for SRAM cell. We implemented low leakage SRAM cell for different cases such as high-Vt, low-Vt and MTCMOS methodology. By out technique we can reduce the leakage currents to nearly 50-60% thereby operating the cell at low power. REFERENCES [1] ITRS, San Jose, CA, “The international technology roadmap for semiconductors,”(2002). [2] The Device Group, Dept. EECS, Univ. California, Berkeley, CA, “BSIM3 Homepage,” (2001). [Online]. Available [3] J. Rabaey, Digital Integrated Circuits: A Design Perspective, ser. Prentice- Hall Electronics and VLSI Series. Englewood Cliffs, NJ: Prentice-Hall, 1996. [4] J. T. Kao and A. P. Chandrakasan, “Dual- threshold voltage tec hniques for low-power digital circuits,” IEEE J. Solid-State Circuits, vol. 35, no. 7, pp. 1009–1018, Jul. 2000. [5] S. Mutoh, T. Douseki, Y. Matsuya, T. Aoki, S. Shigematsu, and J. Yamada,“1-v power supply high-speed digital circuit technology with multithreshold-voltage CMOS,” IEEE J. Solid-State Circuits, vol. 30, no. 8, pp. 847–854, Aug. 1995. [6] T. Kuroda, T. Fujita, S. Mita, T. Nagamatsu, S. Yoshioka, K. Suzuki, F. Sano, M. Norishima, M. Murota, M. Kako, M. K. M. Kakumu and T. Sakurai, “A 0.9-V, 150- MHz, 10-mW, 4 mm 2, 2-D discrete cosine transform core processor with variable threshold-voltage (VT) scheme,” IEEE J. Solid-State Circuits, vol. 31, no. 11, pp. 1770–1779, Nov. 1996. [7] H. Kawaguchi, K. Nose, and T. Sakurai, “A super cut-off CMOS (SCCMOS) scheme for 0.5-v supply voltage with picoampere stand- by current,” IEEE J. Solid-State Circuits, vol. 35, no. 10, pp. 1498–1501, Oct. 2000. [8] F. Assaderaghi, D. Sinitsky, S. A. Parke, J. Bokor, P. K. Ko, and C. Hu, “Dynamic threshold-voltage MOSFET (DTMOS) for ultra-lowvoltage VLSI,” IEEE Trans. Electron Devices, vol. 44, no. 3, pp. 414– 422, Mar.1997. [9] K. Kumagai, H. Iwaki, H. Yoshida, H. Suzuki, T. Yamada, and S.Kurosawa, “A novel powering-down scheme for low Vt CMOS circuits,” in Dig. Tech. Papers, Symp. VLSI Circuits, 1998, pp. 44–45. [10] I. Hyunsik, T. Inukai, H. Gomyo, T. Hiramoto, and T. Sakurai, “VTCMOS characteristics and its optimum conditions predicted by a compact analytical model,” in Proc. Int. Symp. Low Power Electron. Des., 2001, pp. 123–128.