Now a day’s highly integrated multi layer board with IC’s is virtually impossible to be accessed
physically for testing. The major problem detected during testing a circuit includes test generation and gate to
I/O pin problems. In design of any circuit, consuming low power and less hardware utilization is an important
design parameter. Therefore reliable testing methods are introduced which reduces the cost of the hardware
required and also power consumed by the device. In this project a new fault coverage test pattern generator is
generated using a linear feedback shift register called FC-LFSR which can perform fault analysis and reduces
the total power of the circuit. In this test, it generates three intermediate patterns between the random patterns
which reduces the transitional activities of primary inputs so that the switching activities inside the circuit under
test will be reduced. The test patterns generated are applied to c17 benchmark circuit, whose results with fault
coverage of the circuit being tested. The simulation for this design is performed using Xilinx ISE software using
Verilog hardware description language
In our project, we propose a novel architecture which generates the test patterns with reduced switching activities. LP-TPG (Test pattern Generator) structure consists of modified low power linear feedback shift register (LP-LFSR), m-bit counter; gray counter, NOR-gate structure and XOR-array. The m-bit counter is initialized with Zeros and which generates 2m test patterns in sequence. The m-bit counter and gray code generator are controlled by common clock signal [CLK]. The output of m-bit counter is applied as input to gray code generator and NOR-gate structure. When all the bits of counter output are Zero, the NOR-gate output is one. Only when the NOR-gate output is one, the clock signal is applied to activate the LP-LFSR which generates the next seed. The seed generated from LP-LFSR is Exclusive–OR ed with the data generated from gray code generator. The patterns generated from the Exclusive–OR array are the final output patterns. The proposed architecture is simulated using Modelsim and synthesized using Xilinx ISE 13.2 and it will be implemented on XC3S500e Spartan 3E FPGA board for hardware implementation and testing. The Xilinx Chip scope tool will be used to test the FPGA inside results while the logic running on FPGA.
A Modified Design of Test Pattern Generator for Built-In-Self- Test ApplicationsIJERA Editor
Test Pattern Generators (TPG) are very important logic part of the Circuits that have self-test features.
Nowadays, the self-test feature is an in-built part of the modern application hardware designs. This feature
enables the user to test and verify the specific hardware failure with the help of the hardware itself. To enable
self-test an extra operational and control circuit is required by the application based operational and control
circuit. The size of the self-test block is generally small as compared to the actual hardware. Most of the self-test
hardware includes Linear Feedback Shift Register (LFSR) to generate the test signal pattern in the self-test mode
of circuit operation. In the present work a simple 3-FF based modified design of TPG is designed and simulated
to generate a 4-bit test signal sequence. The present work also shows FPGA based simulation and synthesis of a
16-bit TPG design using the 4-bit TPG. The present TPG design concept can be replicated to generate a test
sequence of higher bit length for advanced applications. The present design is simulated on Xilinx tool for
functional verification.
Low power test pattern generation for bist applicationseSAT Journals
Abstract This paper proposes a novel test pattern generator (TPG) for built-in self-test. Our method generates multiple single input change (MSIC) vectors in a pattern, i.e., each vector applied to a scan chain is an SIC vector. A reconfigurable Johnson counter and a scalable SIC counter are developed to generate a class of minimum transition sequences. The proposed TPG is flexible to both the test-per-clock and the test-per-scan schemes. A theory is also developed to represent and analyze the sequences and to extract a class of MSIC sequences. Analysis results show that the produced MSIC sequences have the favorable features of uniform distribution and low input transition density. Simulation results with ISCAS benchmarks demonstrate that MSIC can save test power and impose no more than 7.5% overhead for a scan design. It also achieves the target fault coverage without increasing the test length. Keywords—Built-in self-test (BIST), low power, single-input change (SIC), test pattern generator (TPG)
IJRET : International Journal of Research in Engineering and Technology is an international peer reviewed, online journal published by eSAT Publishing House for the enhancement of research in various disciplines of Engineering and Technology. The aim and scope of the journal is to provide an academic medium and an important reference for the advancement and dissemination of research results that support high-level learning, teaching and research in the fields of Engineering and Technology. We bring together Scientists, Academician, Field Engineers, Scholars and Students of related fields of Engineering and Technology
VLSI Projects for M. Tech, VLSI Projects in Vijayanagar, VLSI Projects in Bangalore, M. Tech Projects in Vijayanagar, M. Tech Projects in Bangalore, VLSI IEEE projects in Bangalore, IEEE 2015 VLSI Projects, FPGA and Xilinx Projects, FPGA and Xilinx Projects in Bangalore, FPGA and Xilinx Projects in Vijayangar
International Journal of Engineering Research and Applications (IJERA) is an open access online peer reviewed international journal that publishes research and review articles in the fields of Computer Science, Neural Networks, Electrical Engineering, Software Engineering, Information Technology, Mechanical Engineering, Chemical Engineering, Plastic Engineering, Food Technology, Textile Engineering, Nano Technology & science, Power Electronics, Electronics & Communication Engineering, Computational mathematics, Image processing, Civil Engineering, Structural Engineering, Environmental Engineering, VLSI Testing & Low Power VLSI Design etc.
In our project, we propose a novel architecture which generates the test patterns with reduced switching activities. LP-TPG (Test pattern Generator) structure consists of modified low power linear feedback shift register (LP-LFSR), m-bit counter; gray counter, NOR-gate structure and XOR-array. The m-bit counter is initialized with Zeros and which generates 2m test patterns in sequence. The m-bit counter and gray code generator are controlled by common clock signal [CLK]. The output of m-bit counter is applied as input to gray code generator and NOR-gate structure. When all the bits of counter output are Zero, the NOR-gate output is one. Only when the NOR-gate output is one, the clock signal is applied to activate the LP-LFSR which generates the next seed. The seed generated from LP-LFSR is Exclusive–OR ed with the data generated from gray code generator. The patterns generated from the Exclusive–OR array are the final output patterns. The proposed architecture is simulated using Modelsim and synthesized using Xilinx ISE 13.2 and it will be implemented on XC3S500e Spartan 3E FPGA board for hardware implementation and testing. The Xilinx Chip scope tool will be used to test the FPGA inside results while the logic running on FPGA.
A Modified Design of Test Pattern Generator for Built-In-Self- Test ApplicationsIJERA Editor
Test Pattern Generators (TPG) are very important logic part of the Circuits that have self-test features.
Nowadays, the self-test feature is an in-built part of the modern application hardware designs. This feature
enables the user to test and verify the specific hardware failure with the help of the hardware itself. To enable
self-test an extra operational and control circuit is required by the application based operational and control
circuit. The size of the self-test block is generally small as compared to the actual hardware. Most of the self-test
hardware includes Linear Feedback Shift Register (LFSR) to generate the test signal pattern in the self-test mode
of circuit operation. In the present work a simple 3-FF based modified design of TPG is designed and simulated
to generate a 4-bit test signal sequence. The present work also shows FPGA based simulation and synthesis of a
16-bit TPG design using the 4-bit TPG. The present TPG design concept can be replicated to generate a test
sequence of higher bit length for advanced applications. The present design is simulated on Xilinx tool for
functional verification.
Low power test pattern generation for bist applicationseSAT Journals
Abstract This paper proposes a novel test pattern generator (TPG) for built-in self-test. Our method generates multiple single input change (MSIC) vectors in a pattern, i.e., each vector applied to a scan chain is an SIC vector. A reconfigurable Johnson counter and a scalable SIC counter are developed to generate a class of minimum transition sequences. The proposed TPG is flexible to both the test-per-clock and the test-per-scan schemes. A theory is also developed to represent and analyze the sequences and to extract a class of MSIC sequences. Analysis results show that the produced MSIC sequences have the favorable features of uniform distribution and low input transition density. Simulation results with ISCAS benchmarks demonstrate that MSIC can save test power and impose no more than 7.5% overhead for a scan design. It also achieves the target fault coverage without increasing the test length. Keywords—Built-in self-test (BIST), low power, single-input change (SIC), test pattern generator (TPG)
IJRET : International Journal of Research in Engineering and Technology is an international peer reviewed, online journal published by eSAT Publishing House for the enhancement of research in various disciplines of Engineering and Technology. The aim and scope of the journal is to provide an academic medium and an important reference for the advancement and dissemination of research results that support high-level learning, teaching and research in the fields of Engineering and Technology. We bring together Scientists, Academician, Field Engineers, Scholars and Students of related fields of Engineering and Technology
VLSI Projects for M. Tech, VLSI Projects in Vijayanagar, VLSI Projects in Bangalore, M. Tech Projects in Vijayanagar, M. Tech Projects in Bangalore, VLSI IEEE projects in Bangalore, IEEE 2015 VLSI Projects, FPGA and Xilinx Projects, FPGA and Xilinx Projects in Bangalore, FPGA and Xilinx Projects in Vijayangar
International Journal of Engineering Research and Applications (IJERA) is an open access online peer reviewed international journal that publishes research and review articles in the fields of Computer Science, Neural Networks, Electrical Engineering, Software Engineering, Information Technology, Mechanical Engineering, Chemical Engineering, Plastic Engineering, Food Technology, Textile Engineering, Nano Technology & science, Power Electronics, Electronics & Communication Engineering, Computational mathematics, Image processing, Civil Engineering, Structural Engineering, Environmental Engineering, VLSI Testing & Low Power VLSI Design etc.
Reducing Silicon Real Estate and Switching Activity Using Low Power Test Patt...ijsrd.com
Power dissipation is a challenging problem for today's system-on-chip design and test. This paper presents a novel architecture which generates the test patterns with reduced switching activities; it has the advantage of low test power and low hardware overhead. The proposed LP-TPG (test pattern generator) structure consists of modified low power linear feedback shift register (LP-LFSR), m-bit counter, gray counter, NOR-gate structure and XOR-array. The seed generated from LP-LFSR is EXCLUSIVE-OR ed with the data generated from gray code generator. The XOR result of the sequence is single input changing (SIC) sequence, in turn reduces the switching activity and so power dissipation will be very less. The proposed architecture is simulated using Modelsim and synthesized using Xilinx ISE9.2.The Xilinx chip scope tool will be used to test the logic running on FPGA.
Reduced Test Pattern Generation of Multiple SIC Vectors with Input and Output...IJERA Editor
In recent years, the design for low power has become one of the greatest challenges in high-performance very
large scale integration (VLSI) design. Most of the methods focus on the power consumption during normal mode
operation, while test mode operation has not normally been a predominant concern. However, it has been found
that the power consumed during test mode operation is often much higher than during normal mode operation
[1]. This is because most of the consumed power results from the switching activity in the nodes of the circuit
under test (CUT), which is much higher during test mode than during normal mode operation [1]–[3]. In the
proposed pattern, each generated vector applied to each scan chain is an SIC vector, which can minimize the
input transition and reduce test power. In VLSI testing, power reduction is achieved by increasing the correlation
between consecutive test patterns.
Comparative Performance Analysis of Low Power Full Adder Design in Different ...ijcisjournal
This paper gives the comparison of performance of full adder design in terms of area, power and delay in
different logic styles. Full adder design achieves low power using the Transmission Gate logic compared to
all other topologies such as Basic CMOS, Pass Transistor and GDI techniques but it make use of more
number of transistors compared to GDI. GDI occupies less area compared to all other logic design styles.
This paper presents the simulated outcome using Tanner tools and also H-Spice tool which shows power
and speed comparison of different full adder designs. All simulations have been performed in 90nm, 45nm
and 22nm scaling parameters using Predictive Technology Models in H-Spice tool.
Power Optimization with Efficient Test Logic Partitioning for Full Chip DesignPankaj Singh
This paper introduces efficient test logic partitioning to not only optimize and reduce the overall test power during silicon validation but also reduce power in functional mode by shutting off test logic. Approach used in optimizing test power has been successful in reducing overall functional mode leakage power by 50% without any additional area overhead or test time increase. Results shared are based on WIMAX full chip SoC design.
The Approach on Influence of Biasing Circuit in Wideband Low Noise Amplifier ...IJEACS
This proposed work investigates the effects of biasing
circuit in the ultra-wideband microwave low noise amplifier
which operates between 3GHz to 10GHz. The complete circuit is
visualized the importance of every component in the design with
respect to linear measurements like Gain, Noise Figure, Return
loss under unconditionally stable condition. The design and
realization are made by using Hybrid Microwave integrated
circuit in AWR microwave office. The thing that is absolutely
necessary and frequently the difficult step in the design of an
LNA is 'biasing circuit design'. The difficulty situation arises
because traditional methods LNA by using S-parameters data
files in EDA tools provides almost all linear measurements.
Hence a number of time consuming iterations of different biasing
circuits with optimization methods may be required to reach
targeted specifications with the fixed operating point at the
desired points in the load line. Considering this behavior, various
alternate biasing circuit schemes are prepared and founded the
results associated with it. Furthermore, this paper unmistakably
clarifies the impacts of the biasing circuit by utilizing
intermodulation and harmonics distortion technique for
portrayal characterization. Different cases and sorts of the
biasing circuits with various biasing focuses have been tested and given clear perspective of the biasing ideas.
AN ULTRA-LOW POWER ROBUST KOGGESTONE ADDER AT SUB-THRESHOLD VOLTAGES FOR IMPL...VLSICS Design
The growing demand for energy constrained applications and portable devices have created a dire need for
ultra-low power circuits. Implantable biomedical devices such as pacemakers need ultra-low power
circuits for a better battery life for uninterrupted biomedical data processing. Circuits operating in subthreshold
region minimize the energy per operation, thus providing a better platform for energy
constrained implantable biomedical devices. This paper presents 8, 16 and 32-bit ultra-low power robust
Kogge-Stone adders with improved performance. These adders operate at subthreshold supply voltages
which can be used for low power implantable bio-medical devices such as pacemakers. To improve the
performance of these adders in sub-threshold region, forward body bias technique and multi-threshold
transistors are used. The adders are designed using NCSU 45nm bulk CMOS process library and the
simulations were performed using HSPICE circuit simulator. Quantitative power-performance analysis is
performed at slow-slow (SS), typical-typical (TT) and fast-fast (FF) corners clocked at 50 KHz for
temperature ranging from 25̊C to 120̊C. For a supply voltage 0.3V, all the adders had the least PDP. Using
0.3V as the supply voltage, multi threshold voltage and forward body biasing techniques were applied to
further improve the performance of the adders. The PDP obtained using the forward body biasing
technique shows an effective improvement compared to high threshold voltage and multi threshold voltage
techniques. The forward biasing technique maintains a balance between delay reduction and increase in
average power, thus reducing the power delay product when compared to the other two techniques.
DESIGN OF FAST TRANSIENT RESPONSE, LOW DROPOUT REGULATOR WITH ENHANCED STEADY...ijcsitcejournal
Design and implementation of control systems for power supplies require the use of efficient techniques that
provide simple and practical solutions in order to fulfill the performance requirements at an acceptable cost.
Application of manual methods of system identification in determining optimal values of controller settings is
quite time-consuming, expensive and, sometimes, may be impossible to practically carry out. This paper
describes an analytical method for the design of a control system for a fast transient response, low dropout
(LDO) linear regulated power supply on the basis of PID compensation. The controller parameters are
obtained from analytical model of the regulator circuit. Test results showed good dynamic characteristics
with adequate margin of stability. This study shows that PID parameter values sufficiently close to optimum
can easily be obtained from analytical study of the regulator system. The applied method of determining
controller settings greatly reduces design time and cost.
Energy efficient and high speed domino logic circuitsIJERA Editor
Domino CMOS circuit family finds a wide variety of application in microprocessors due to low device count and high speed.In this paper, various conventional and proposed designs for low leakage and high speed wide fan-in domino circuits are reviewed. The techniques used in the paper reduces the total power dissipation and delay by 25% and 58% respectively as compared to the conventional footed domino logic circuit. Simulations are performed on tanner tool at 65nm technology for 16 input OR gate.
International Journal of Computational Engineering Research(IJCER) ijceronline
nternational Journal of Computational Engineering Research (IJCER) is dedicated to protecting personal information and will make every reasonable effort to handle collected information appropriately. All information collected, as well as related requests, will be handled as carefully and efficiently as possible in accordance with IJCER standards for integrity and objectivity.
Area Versus Speed Trade-off Analysis of a WiMAX Deinterleaver Circuit Designijsrd.com
Trade-off is one of the main design parameters in the field of electronic circuit design. Whereas smaller electronics devices which use less hardware due to techniques like hardware multiplexing or due to smaller devices created due to techniques developed by nanotechnology and MEMS, are more appealing, a trade-off between area, power and speed is inevitable. This paper analyses the trade-off in the design of Wimax deinterleaver. The main aim is to reduce the hardware utilization in a deinterleaver but speed and power consumption are important parameters which cannot be overlooked.
Gain improvement of two stage opamp through body bias in 45nm cmos technologyeSAT Publishing House
IJRET : International Journal of Research in Engineering and Technology is an international peer reviewed, online journal published by eSAT Publishing House for the enhancement of research in various disciplines of Engineering and Technology. The aim and scope of the journal is to provide an academic medium and an important reference for the advancement and dissemination of research results that support high-level learning, teaching and research in the fields of Engineering and Technology. We bring together Scientists, Academician, Field Engineers, Scholars and Students of related fields of Engineering and Technology
Design High Performance Combinational Circuits Using Output Prediction Logic-...IOSRJECE
With the continuously increasing demand for low power & high speed VLSI circuits the brain storming among the scientists, inventors & researchers to find the techniques required to design such high performance circuits is also increasing day by day. In the answer to this search several design techniques have been found. Output prediction logic-OPL technique is one of such newly introduced techniques. OPL is a technique that can be applied to conventional CMOS logic families in order to obtain considerable speedups. Speedups of two to three times over static CMOS logic are demonstrated for a variety of combinational circuits. When applied to static CMOS the OPL retains the restoring nature of underlying logic family. In case of OPL applied to the pseudo NMOS & domino logic, the problem of excessive power dissipation is solved & speedups more than static CMOS logic is obtained
International Journal of Engineering Research and Applications (IJERA) is an open access online peer reviewed international journal that publishes research and review articles in the fields of Computer Science, Neural Networks, Electrical Engineering, Software Engineering, Information Technology, Mechanical Engineering, Chemical Engineering, Plastic Engineering, Food Technology, Textile Engineering, Nano Technology & science, Power Electronics, Electronics & Communication Engineering, Computational mathematics, Image processing, Civil Engineering, Structural Engineering, Environmental Engineering, VLSI Testing & Low Power VLSI Design etc.
Design for Testability in Timely Testing of Vlsi CircuitsIJERA Editor
Even though a circuit is designed error-free, manufactured circuits may not function correctly. Since the manufacturing process is not perfect, some defects such as short-circuits, open-circuits, open interconnections, pin shorts, etc., may be introduced. Points out that the cost of detecting a faulty component increases ten times at each step between prepackage component test and system warranty repair. It is important to identify a faulty component as early in the manufacturing process as possible. Therefore, testing has become a very important aspect of any VLSI manufacturing system.Two main issues related to test and security domain are scan-based attacks and misuse of JTAG interface. Design for testability presents effective and timely testing of VLSI circuits. The project is to test the circuits after design and then reduce the area, power, delay and security of misuse. BIST architecture is used to test the circuits effectively compared to scan based testing. In built-in self-test (BIST), on-chip circuitry is added to generate test vectors or analyze output responses or both. BIST is usually performed using pseudorandom pattern generators (PRPGs). Among the advantages of pseudorandom BIST are: (1) the low cost compared to testing from automatic test equipment (ATE). (2) The speed of the test, which is much faster than when it is applied from ATE. (3) The applicability of the test while the circuit is in the field, and (4) the potential for high quality of test.
International Journal of Engineering Research and Applications (IJERA) is an open access online peer reviewed international journal that publishes research and review articles in the fields of Computer Science, Neural Networks, Electrical Engineering, Software Engineering, Information Technology, Mechanical Engineering, Chemical Engineering, Plastic Engineering, Food Technology, Textile Engineering, Nano Technology & science, Power Electronics, Electronics & Communication Engineering, Computational mathematics, Image processing, Civil Engineering, Structural Engineering, Environmental Engineering, VLSI Testing & Low Power VLSI Design etc.
Reducing Silicon Real Estate and Switching Activity Using Low Power Test Patt...ijsrd.com
Power dissipation is a challenging problem for today's system-on-chip design and test. This paper presents a novel architecture which generates the test patterns with reduced switching activities; it has the advantage of low test power and low hardware overhead. The proposed LP-TPG (test pattern generator) structure consists of modified low power linear feedback shift register (LP-LFSR), m-bit counter, gray counter, NOR-gate structure and XOR-array. The seed generated from LP-LFSR is EXCLUSIVE-OR ed with the data generated from gray code generator. The XOR result of the sequence is single input changing (SIC) sequence, in turn reduces the switching activity and so power dissipation will be very less. The proposed architecture is simulated using Modelsim and synthesized using Xilinx ISE9.2.The Xilinx chip scope tool will be used to test the logic running on FPGA.
Reduced Test Pattern Generation of Multiple SIC Vectors with Input and Output...IJERA Editor
In recent years, the design for low power has become one of the greatest challenges in high-performance very
large scale integration (VLSI) design. Most of the methods focus on the power consumption during normal mode
operation, while test mode operation has not normally been a predominant concern. However, it has been found
that the power consumed during test mode operation is often much higher than during normal mode operation
[1]. This is because most of the consumed power results from the switching activity in the nodes of the circuit
under test (CUT), which is much higher during test mode than during normal mode operation [1]–[3]. In the
proposed pattern, each generated vector applied to each scan chain is an SIC vector, which can minimize the
input transition and reduce test power. In VLSI testing, power reduction is achieved by increasing the correlation
between consecutive test patterns.
Comparative Performance Analysis of Low Power Full Adder Design in Different ...ijcisjournal
This paper gives the comparison of performance of full adder design in terms of area, power and delay in
different logic styles. Full adder design achieves low power using the Transmission Gate logic compared to
all other topologies such as Basic CMOS, Pass Transistor and GDI techniques but it make use of more
number of transistors compared to GDI. GDI occupies less area compared to all other logic design styles.
This paper presents the simulated outcome using Tanner tools and also H-Spice tool which shows power
and speed comparison of different full adder designs. All simulations have been performed in 90nm, 45nm
and 22nm scaling parameters using Predictive Technology Models in H-Spice tool.
Power Optimization with Efficient Test Logic Partitioning for Full Chip DesignPankaj Singh
This paper introduces efficient test logic partitioning to not only optimize and reduce the overall test power during silicon validation but also reduce power in functional mode by shutting off test logic. Approach used in optimizing test power has been successful in reducing overall functional mode leakage power by 50% without any additional area overhead or test time increase. Results shared are based on WIMAX full chip SoC design.
The Approach on Influence of Biasing Circuit in Wideband Low Noise Amplifier ...IJEACS
This proposed work investigates the effects of biasing
circuit in the ultra-wideband microwave low noise amplifier
which operates between 3GHz to 10GHz. The complete circuit is
visualized the importance of every component in the design with
respect to linear measurements like Gain, Noise Figure, Return
loss under unconditionally stable condition. The design and
realization are made by using Hybrid Microwave integrated
circuit in AWR microwave office. The thing that is absolutely
necessary and frequently the difficult step in the design of an
LNA is 'biasing circuit design'. The difficulty situation arises
because traditional methods LNA by using S-parameters data
files in EDA tools provides almost all linear measurements.
Hence a number of time consuming iterations of different biasing
circuits with optimization methods may be required to reach
targeted specifications with the fixed operating point at the
desired points in the load line. Considering this behavior, various
alternate biasing circuit schemes are prepared and founded the
results associated with it. Furthermore, this paper unmistakably
clarifies the impacts of the biasing circuit by utilizing
intermodulation and harmonics distortion technique for
portrayal characterization. Different cases and sorts of the
biasing circuits with various biasing focuses have been tested and given clear perspective of the biasing ideas.
AN ULTRA-LOW POWER ROBUST KOGGESTONE ADDER AT SUB-THRESHOLD VOLTAGES FOR IMPL...VLSICS Design
The growing demand for energy constrained applications and portable devices have created a dire need for
ultra-low power circuits. Implantable biomedical devices such as pacemakers need ultra-low power
circuits for a better battery life for uninterrupted biomedical data processing. Circuits operating in subthreshold
region minimize the energy per operation, thus providing a better platform for energy
constrained implantable biomedical devices. This paper presents 8, 16 and 32-bit ultra-low power robust
Kogge-Stone adders with improved performance. These adders operate at subthreshold supply voltages
which can be used for low power implantable bio-medical devices such as pacemakers. To improve the
performance of these adders in sub-threshold region, forward body bias technique and multi-threshold
transistors are used. The adders are designed using NCSU 45nm bulk CMOS process library and the
simulations were performed using HSPICE circuit simulator. Quantitative power-performance analysis is
performed at slow-slow (SS), typical-typical (TT) and fast-fast (FF) corners clocked at 50 KHz for
temperature ranging from 25̊C to 120̊C. For a supply voltage 0.3V, all the adders had the least PDP. Using
0.3V as the supply voltage, multi threshold voltage and forward body biasing techniques were applied to
further improve the performance of the adders. The PDP obtained using the forward body biasing
technique shows an effective improvement compared to high threshold voltage and multi threshold voltage
techniques. The forward biasing technique maintains a balance between delay reduction and increase in
average power, thus reducing the power delay product when compared to the other two techniques.
DESIGN OF FAST TRANSIENT RESPONSE, LOW DROPOUT REGULATOR WITH ENHANCED STEADY...ijcsitcejournal
Design and implementation of control systems for power supplies require the use of efficient techniques that
provide simple and practical solutions in order to fulfill the performance requirements at an acceptable cost.
Application of manual methods of system identification in determining optimal values of controller settings is
quite time-consuming, expensive and, sometimes, may be impossible to practically carry out. This paper
describes an analytical method for the design of a control system for a fast transient response, low dropout
(LDO) linear regulated power supply on the basis of PID compensation. The controller parameters are
obtained from analytical model of the regulator circuit. Test results showed good dynamic characteristics
with adequate margin of stability. This study shows that PID parameter values sufficiently close to optimum
can easily be obtained from analytical study of the regulator system. The applied method of determining
controller settings greatly reduces design time and cost.
Energy efficient and high speed domino logic circuitsIJERA Editor
Domino CMOS circuit family finds a wide variety of application in microprocessors due to low device count and high speed.In this paper, various conventional and proposed designs for low leakage and high speed wide fan-in domino circuits are reviewed. The techniques used in the paper reduces the total power dissipation and delay by 25% and 58% respectively as compared to the conventional footed domino logic circuit. Simulations are performed on tanner tool at 65nm technology for 16 input OR gate.
International Journal of Computational Engineering Research(IJCER) ijceronline
nternational Journal of Computational Engineering Research (IJCER) is dedicated to protecting personal information and will make every reasonable effort to handle collected information appropriately. All information collected, as well as related requests, will be handled as carefully and efficiently as possible in accordance with IJCER standards for integrity and objectivity.
Area Versus Speed Trade-off Analysis of a WiMAX Deinterleaver Circuit Designijsrd.com
Trade-off is one of the main design parameters in the field of electronic circuit design. Whereas smaller electronics devices which use less hardware due to techniques like hardware multiplexing or due to smaller devices created due to techniques developed by nanotechnology and MEMS, are more appealing, a trade-off between area, power and speed is inevitable. This paper analyses the trade-off in the design of Wimax deinterleaver. The main aim is to reduce the hardware utilization in a deinterleaver but speed and power consumption are important parameters which cannot be overlooked.
Gain improvement of two stage opamp through body bias in 45nm cmos technologyeSAT Publishing House
IJRET : International Journal of Research in Engineering and Technology is an international peer reviewed, online journal published by eSAT Publishing House for the enhancement of research in various disciplines of Engineering and Technology. The aim and scope of the journal is to provide an academic medium and an important reference for the advancement and dissemination of research results that support high-level learning, teaching and research in the fields of Engineering and Technology. We bring together Scientists, Academician, Field Engineers, Scholars and Students of related fields of Engineering and Technology
Design High Performance Combinational Circuits Using Output Prediction Logic-...IOSRJECE
With the continuously increasing demand for low power & high speed VLSI circuits the brain storming among the scientists, inventors & researchers to find the techniques required to design such high performance circuits is also increasing day by day. In the answer to this search several design techniques have been found. Output prediction logic-OPL technique is one of such newly introduced techniques. OPL is a technique that can be applied to conventional CMOS logic families in order to obtain considerable speedups. Speedups of two to three times over static CMOS logic are demonstrated for a variety of combinational circuits. When applied to static CMOS the OPL retains the restoring nature of underlying logic family. In case of OPL applied to the pseudo NMOS & domino logic, the problem of excessive power dissipation is solved & speedups more than static CMOS logic is obtained
International Journal of Engineering Research and Applications (IJERA) is an open access online peer reviewed international journal that publishes research and review articles in the fields of Computer Science, Neural Networks, Electrical Engineering, Software Engineering, Information Technology, Mechanical Engineering, Chemical Engineering, Plastic Engineering, Food Technology, Textile Engineering, Nano Technology & science, Power Electronics, Electronics & Communication Engineering, Computational mathematics, Image processing, Civil Engineering, Structural Engineering, Environmental Engineering, VLSI Testing & Low Power VLSI Design etc.
Design for Testability in Timely Testing of Vlsi CircuitsIJERA Editor
Even though a circuit is designed error-free, manufactured circuits may not function correctly. Since the manufacturing process is not perfect, some defects such as short-circuits, open-circuits, open interconnections, pin shorts, etc., may be introduced. Points out that the cost of detecting a faulty component increases ten times at each step between prepackage component test and system warranty repair. It is important to identify a faulty component as early in the manufacturing process as possible. Therefore, testing has become a very important aspect of any VLSI manufacturing system.Two main issues related to test and security domain are scan-based attacks and misuse of JTAG interface. Design for testability presents effective and timely testing of VLSI circuits. The project is to test the circuits after design and then reduce the area, power, delay and security of misuse. BIST architecture is used to test the circuits effectively compared to scan based testing. In built-in self-test (BIST), on-chip circuitry is added to generate test vectors or analyze output responses or both. BIST is usually performed using pseudorandom pattern generators (PRPGs). Among the advantages of pseudorandom BIST are: (1) the low cost compared to testing from automatic test equipment (ATE). (2) The speed of the test, which is much faster than when it is applied from ATE. (3) The applicability of the test while the circuit is in the field, and (4) the potential for high quality of test.
International Journal of Engineering Research and Applications (IJERA) is an open access online peer reviewed international journal that publishes research and review articles in the fields of Computer Science, Neural Networks, Electrical Engineering, Software Engineering, Information Technology, Mechanical Engineering, Chemical Engineering, Plastic Engineering, Food Technology, Textile Engineering, Nano Technology & science, Power Electronics, Electronics & Communication Engineering, Computational mathematics, Image processing, Civil Engineering, Structural Engineering, Environmental Engineering, VLSI Testing & Low Power VLSI Design etc.
Clock gating is an effective method of reducing the dynamic power consumption in synchronous circuits.
One of the ways to achieve this is by masking the clock that goes to the idle portion of the circuit. In This paper
we will present a comparative analysis of existing clock gating techniques on some synchronous digital design
like ALU (Arithmetic logical unit) etc. Also a new clock gating technique that provides more immunity to the
existing problem in available technique. In new proposed clock gating the Gated Clock Generation Circuit is using
tri state buffer and Gated logic is used which is created by the combination of double gated (AND, OR, AND
logic gate) with bubbled input respectively. This circuit saves power even when Target device's clock is ON. All
experiments are done on Xilinx14.1 EDA tool. Mentor Graphics Model SIM. For power calculation we are using
XPOWER. Sparten-3 (90nm) FPGA platform is used for result and analysis. The proposed design will reduce the
hardware complexity with approximately 10-20%. Similar clock power complexity will reduce with 5-10%.
Keywords — Tri state buffer; VLSI; Xilinx; glitches; hazards;Sparten.
Online and Offline Testing Of C-Bist Using Sramiosrjce
Built-in self test techniques constitute a class of schemes that provide the capability of performing atspeed
testing with high fault coverage hence; they constitute an attractive solution to the problem of testing
VLSI devices. Concurrent BIST schemes perform testing during the circuit normal operation without imposing a
need to set the circuit offline to perform the test; therefore they can circumvent problems appearing in offline
BIST techniques. In this brief, a novel input vector monitoring concurrent BIST architecture has been presented,
based on the use of a SRAM-cell like register for storing the information of whether an input vector has
appeared or not during normal operation. The evaluation criteria for this class of schemes are the hardware
overhead and the CTL, i.e., the time required for the test to complete, while the circuit operates normally. The
simulation results shown to be more efficient than previously proposed Concurrent BIST techniques in terms of
hardware overhead and CTL.
International Journal of Engineering Research and Applications (IJERA) is an open access online peer reviewed international journal that publishes research and review articles in the fields of Computer Science, Neural Networks, Electrical Engineering, Software Engineering, Information Technology, Mechanical Engineering, Chemical Engineering, Plastic Engineering, Food Technology, Textile Engineering, Nano Technology & science, Power Electronics, Electronics & Communication Engineering, Computational mathematics, Image processing, Civil Engineering, Structural Engineering, Environmental Engineering, VLSI Testing & Low Power VLSI Design etc.
A BIST GENERATOR CAD TOOL FOR NUMERIC INTEGRATED CIRCUITSVLSICS Design
This paper describes a training and research tool for learning basic issues related to BIST (Built-In Self- Test) generator. The main didactic aim of the tool is presenting complicated concepts in a comprehensive graphical and analytical way. The paper describes a computer-aided design (CAD) that is used to generate automatically the BIST to any digital circuit. This software technique attempts to reduce the amount of extra hardware and cost of the circuit. In order to make our software being easily available, we used the Java platform, which is supported by most operating systems. The multi-platform JAVA runtime environment allows for easy access and usage of
the tool.
Back track input vector algorithm for leakage reduction in cmos vlsi digital ...VLSICS Design
A new algorithm based on Input Vector Control (IVC) technique is proposed, which shifts logic gate of a
circuit to its minimum leakage state, when device goes into its idle state. Leakage current in CMOS VLSI
circuit has become a major constrain in a battery operated device for technology node below 90nm, as it
drains the battery even when a circuit is in standby mode. Major concern is the leakage even in run time
condition, here aim is to focus on run time leakage reduction technique of integrated Circuit. It is inherited
by stacking effect when the series transistors are maximized in OFF state condition. This method is
independent of process technology and does not require any additional power supply. This paper gives an
optimized solution of input pattern determination of some small circuit to find minimum leakage vector
considering promising and non-promising node which helps to reduce the time complexity of the algorithm.
Proposed algorithm is simulated using HSPICE simulator for 2 input NAND gate and different standard
logic cells and achieved 94.2% and 54.59 % average leakage power reduction for 2 input NAND cell and
different logics respectively.
IJERA (International journal of Engineering Research and Applications) is International online, ... peer reviewed journal. For more detail or submit your article, please visit www.ijera.com
Data Volume Compression Using BIST to get Low-Power Pseudorandom Test Pattern...IJMTST Journal
This project describes a low-power (LP) programmable generator capable of producing pseudorandom test patterns with desired toggling levels and enhanced fault coverage gradient compared with the best-to-date built-in self-test (BIST)- based pseudorandom test pattern generators. It is comprised of a linear finite state machine (a linear feedback shift register or a ring generator) driving an appropriate phase shifter, and it comes with a number of features allowing this device to produce binary sequences with preselected toggling (PRESTO) activity. We introduce a method to automatically select several controls of the generator offering easy and precise tuning. The same technique is subsequently employed to deterministically guide the generator toward test sequences with improved fault-coverage-to pattern-count ratios. Furthermore, this proposes an LP test compression method that allows shaping the test power envelope in a fully predictable, accurate, and flexible fashion by adapting the PRESTO based logic BIST (LBIST) infrastructure. The proposed architecture is extended in such that the patterns generated from PRPG is gone through CUT and then to TRA to perform ATE.
Optimal and Power Aware BIST for Delay Testing of System-On-ChipIDES Editor
Test engineering for fault tolerant VLSI systems is
encumbered with optimization requisites for hardware
overhead, test power and test time. The high level quality of
these complex high-speed VLSI circuits can be assured only
through delay testing, which involves checking for accurate
temporal behavior. In the present paper, a data-path based
built-in test pattern generator (TPG) that generates iterative
pseudo-exhaustive two-patterns (IPET) for parallel delay
testing of modules with different input cone capacities is
implemented. Further, in the present study a CMOS
implementation of low power architecture (LPA) for scan based
built-in self test (BIST) for delay testing and combinational
testing is carried out. This reduces test power dissipation in
the circuit under test (CUT). Experimental results and
comparisons with pre-existing methods prove the reduction
in hardware overhead and test-time.
Design Verification and Test Vector Minimization Using Heuristic Method of a ...ijcisjournal
The reduction in feature size increases the probability of manufacturing defect in the IC will result in a
faulty chip. A very small defect can easily result in a faulty transistor or interconnecting wire when the
feature size is less. Testing is required to guarantee fault-free products, regardless of whether the product
is a VLSI device or an electronic system. Simulation is used to verify the correctness of the design. To test n
input circuit we required 2n
test vectors. As the number inputs of a circuit are more, the exponential growth
of the required number of vectors takes much time to test the circuit. It is necessary to find testing methods
to reduce test vectors . So here designed an heuristic approach to test the ripple carry adder. Modelsim and
Xilinx tools are used to verify and synthesize the design.
Extremely Low Power FIR Filter for a Smart Dust Sensor ModuleCSCJournals
Digital filters are common components in many applications today, also in for sensor systems, such as large-scale distributed smart dust sensors. For these applications the power consumption is very critical, it has to be extremely low. With the transistor technology scaling becoming more and more sensitive to e.g. gate leakage, it has become a necessity to find ways to minimize the flow of leakage in current CMOS logic. This paper studies sub-threshold source coupled logic (STSCL) in a 45-nm process. The STSCL can be used instead of traditional CMOS to meet the low power and energy consumption requirements. The STSCL style is in this paper used to design a digital filter, applicable for the audio interface of a smart dust sensor where the sample frequency will be 44.1 kHz. A finite-length impulse response (FIR) filter is used with transposed direct form structure and for the coefficient multiplication five-bit canonic signed digit [7] based serial/parallel multipliers were used. The power consumption is calculated along with the delay in order to present the power delay product (PDP) such that the performance of the sub-threshold logic can be compared with corresponding CMOS implementation. The simulated results shows a significant reduction in energy consumption (in terms of PDP) with the system running at a supply voltage as low as 0.2 V using STSCL.
Similar to Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Coverage Circuits (20)
An Examination of Effectuation Dimension as Financing Practice of Small and M...iosrjce
IOSR Journal of Business and Management (IOSR-JBM) is a double blind peer reviewed International Journal that provides rapid publication (within a month) of articles in all areas of business and managemant and its applications. The journal welcomes publications of high quality papers on theoretical developments and practical applications inbusiness and management. Original research papers, state-of-the-art reviews, and high quality technical notes are invited for publications.
Does Goods and Services Tax (GST) Leads to Indian Economic Development?iosrjce
IOSR Journal of Business and Management (IOSR-JBM) is a double blind peer reviewed International Journal that provides rapid publication (within a month) of articles in all areas of business and managemant and its applications. The journal welcomes publications of high quality papers on theoretical developments and practical applications inbusiness and management. Original research papers, state-of-the-art reviews, and high quality technical notes are invited for publications.
Childhood Factors that influence success in later lifeiosrjce
IOSR Journal of Business and Management (IOSR-JBM) is a double blind peer reviewed International Journal that provides rapid publication (within a month) of articles in all areas of business and managemant and its applications. The journal welcomes publications of high quality papers on theoretical developments and practical applications inbusiness and management. Original research papers, state-of-the-art reviews, and high quality technical notes are invited for publications.
Emotional Intelligence and Work Performance Relationship: A Study on Sales Pe...iosrjce
IOSR Journal of Business and Management (IOSR-JBM) is a double blind peer reviewed International Journal that provides rapid publication (within a month) of articles in all areas of business and managemant and its applications. The journal welcomes publications of high quality papers on theoretical developments and practical applications inbusiness and management. Original research papers, state-of-the-art reviews, and high quality technical notes are invited for publications.
Customer’s Acceptance of Internet Banking in Dubaiiosrjce
IOSR Journal of Business and Management (IOSR-JBM) is a double blind peer reviewed International Journal that provides rapid publication (within a month) of articles in all areas of business and managemant and its applications. The journal welcomes publications of high quality papers on theoretical developments and practical applications inbusiness and management. Original research papers, state-of-the-art reviews, and high quality technical notes are invited for publications.
A Study of Employee Satisfaction relating to Job Security & Working Hours amo...iosrjce
IOSR Journal of Business and Management (IOSR-JBM) is a double blind peer reviewed International Journal that provides rapid publication (within a month) of articles in all areas of business and managemant and its applications. The journal welcomes publications of high quality papers on theoretical developments and practical applications inbusiness and management. Original research papers, state-of-the-art reviews, and high quality technical notes are invited for publications.
Consumer Perspectives on Brand Preference: A Choice Based Model Approachiosrjce
IOSR Journal of Business and Management (IOSR-JBM) is a double blind peer reviewed International Journal that provides rapid publication (within a month) of articles in all areas of business and managemant and its applications. The journal welcomes publications of high quality papers on theoretical developments and practical applications inbusiness and management. Original research papers, state-of-the-art reviews, and high quality technical notes are invited for publications.
Student`S Approach towards Social Network Sitesiosrjce
IOSR Journal of Business and Management (IOSR-JBM) is a double blind peer reviewed International Journal that provides rapid publication (within a month) of articles in all areas of business and managemant and its applications. The journal welcomes publications of high quality papers on theoretical developments and practical applications inbusiness and management. Original research papers, state-of-the-art reviews, and high quality technical notes are invited for publications.
Broadcast Management in Nigeria: The systems approach as an imperativeiosrjce
IOSR Journal of Business and Management (IOSR-JBM) is a double blind peer reviewed International Journal that provides rapid publication (within a month) of articles in all areas of business and managemant and its applications. The journal welcomes publications of high quality papers on theoretical developments and practical applications inbusiness and management. Original research papers, state-of-the-art reviews, and high quality technical notes are invited for publications.
A Study on Retailer’s Perception on Soya Products with Special Reference to T...iosrjce
IOSR Journal of Business and Management (IOSR-JBM) is a double blind peer reviewed International Journal that provides rapid publication (within a month) of articles in all areas of business and managemant and its applications. The journal welcomes publications of high quality papers on theoretical developments and practical applications inbusiness and management. Original research papers, state-of-the-art reviews, and high quality technical notes are invited for publications.
A Study Factors Influence on Organisation Citizenship Behaviour in Corporate ...iosrjce
IOSR Journal of Business and Management (IOSR-JBM) is a double blind peer reviewed International Journal that provides rapid publication (within a month) of articles in all areas of business and managemant and its applications. The journal welcomes publications of high quality papers on theoretical developments and practical applications inbusiness and management. Original research papers, state-of-the-art reviews, and high quality technical notes are invited for publications.
Consumers’ Behaviour on Sony Xperia: A Case Study on Bangladeshiosrjce
IOSR Journal of Business and Management (IOSR-JBM) is a double blind peer reviewed International Journal that provides rapid publication (within a month) of articles in all areas of business and managemant and its applications. The journal welcomes publications of high quality papers on theoretical developments and practical applications inbusiness and management. Original research papers, state-of-the-art reviews, and high quality technical notes are invited for publications.
Design of a Balanced Scorecard on Nonprofit Organizations (Study on Yayasan P...iosrjce
IOSR Journal of Business and Management (IOSR-JBM) is a double blind peer reviewed International Journal that provides rapid publication (within a month) of articles in all areas of business and managemant and its applications. The journal welcomes publications of high quality papers on theoretical developments and practical applications inbusiness and management. Original research papers, state-of-the-art reviews, and high quality technical notes are invited for publications.
Public Sector Reforms and Outsourcing Services in Nigeria: An Empirical Evalu...iosrjce
IOSR Journal of Business and Management (IOSR-JBM) is a double blind peer reviewed International Journal that provides rapid publication (within a month) of articles in all areas of business and managemant and its applications. The journal welcomes publications of high quality papers on theoretical developments and practical applications inbusiness and management. Original research papers, state-of-the-art reviews, and high quality technical notes are invited for publications.
Media Innovations and its Impact on Brand awareness & Considerationiosrjce
IOSR Journal of Business and Management (IOSR-JBM) is a double blind peer reviewed International Journal that provides rapid publication (within a month) of articles in all areas of business and managemant and its applications. The journal welcomes publications of high quality papers on theoretical developments and practical applications inbusiness and management. Original research papers, state-of-the-art reviews, and high quality technical notes are invited for publications.
Customer experience in supermarkets and hypermarkets – A comparative studyiosrjce
IOSR Journal of Business and Management (IOSR-JBM) is a double blind peer reviewed International Journal that provides rapid publication (within a month) of articles in all areas of business and managemant and its applications. The journal welcomes publications of high quality papers on theoretical developments and practical applications inbusiness and management. Original research papers, state-of-the-art reviews, and high quality technical notes are invited for publications.
Social Media and Small Businesses: A Combinational Strategic Approach under t...iosrjce
IOSR Journal of Business and Management (IOSR-JBM) is a double blind peer reviewed International Journal that provides rapid publication (within a month) of articles in all areas of business and managemant and its applications. The journal welcomes publications of high quality papers on theoretical developments and practical applications inbusiness and management. Original research papers, state-of-the-art reviews, and high quality technical notes are invited for publications.
Secretarial Performance and the Gender Question (A Study of Selected Tertiary...iosrjce
IOSR Journal of Business and Management (IOSR-JBM) is a double blind peer reviewed International Journal that provides rapid publication (within a month) of articles in all areas of business and managemant and its applications. The journal welcomes publications of high quality papers on theoretical developments and practical applications inbusiness and management. Original research papers, state-of-the-art reviews, and high quality technical notes are invited for publications.
Implementation of Quality Management principles at Zimbabwe Open University (...iosrjce
IOSR Journal of Business and Management (IOSR-JBM) is a double blind peer reviewed International Journal that provides rapid publication (within a month) of articles in all areas of business and managemant and its applications. The journal welcomes publications of high quality papers on theoretical developments and practical applications inbusiness and management. Original research papers, state-of-the-art reviews, and high quality technical notes are invited for publications.
Organizational Conflicts Management In Selected Organizaions In Lagos State, ...iosrjce
IOSR Journal of Business and Management (IOSR-JBM) is a double blind peer reviewed International Journal that provides rapid publication (within a month) of articles in all areas of business and managemant and its applications. The journal welcomes publications of high quality papers on theoretical developments and practical applications inbusiness and management. Original research papers, state-of-the-art reviews, and high quality technical notes are invited for publications.
Hierarchical Digital Twin of a Naval Power SystemKerry Sado
A hierarchical digital twin of a Naval DC power system has been developed and experimentally verified. Similar to other state-of-the-art digital twins, this technology creates a digital replica of the physical system executed in real-time or faster, which can modify hardware controls. However, its advantage stems from distributing computational efforts by utilizing a hierarchical structure composed of lower-level digital twin blocks and a higher-level system digital twin. Each digital twin block is associated with a physical subsystem of the hardware and communicates with a singular system digital twin, which creates a system-level response. By extracting information from each level of the hierarchy, power system controls of the hardware were reconfigured autonomously. This hierarchical digital twin development offers several advantages over other digital twins, particularly in the field of naval power systems. The hierarchical structure allows for greater computational efficiency and scalability while the ability to autonomously reconfigure hardware controls offers increased flexibility and responsiveness. The hierarchical decomposition and models utilized were well aligned with the physical twin, as indicated by the maximum deviations between the developed digital twin hierarchy and the hardware.
Sachpazis:Terzaghi Bearing Capacity Estimation in simple terms with Calculati...Dr.Costas Sachpazis
Terzaghi's soil bearing capacity theory, developed by Karl Terzaghi, is a fundamental principle in geotechnical engineering used to determine the bearing capacity of shallow foundations. This theory provides a method to calculate the ultimate bearing capacity of soil, which is the maximum load per unit area that the soil can support without undergoing shear failure. The Calculation HTML Code included.
Hybrid optimization of pumped hydro system and solar- Engr. Abdul-Azeez.pdffxintegritypublishin
Advancements in technology unveil a myriad of electrical and electronic breakthroughs geared towards efficiently harnessing limited resources to meet human energy demands. The optimization of hybrid solar PV panels and pumped hydro energy supply systems plays a pivotal role in utilizing natural resources effectively. This initiative not only benefits humanity but also fosters environmental sustainability. The study investigated the design optimization of these hybrid systems, focusing on understanding solar radiation patterns, identifying geographical influences on solar radiation, formulating a mathematical model for system optimization, and determining the optimal configuration of PV panels and pumped hydro storage. Through a comparative analysis approach and eight weeks of data collection, the study addressed key research questions related to solar radiation patterns and optimal system design. The findings highlighted regions with heightened solar radiation levels, showcasing substantial potential for power generation and emphasizing the system's efficiency. Optimizing system design significantly boosted power generation, promoted renewable energy utilization, and enhanced energy storage capacity. The study underscored the benefits of optimizing hybrid solar PV panels and pumped hydro energy supply systems for sustainable energy usage. Optimizing the design of solar PV panels and pumped hydro energy supply systems as examined across diverse climatic conditions in a developing country, not only enhances power generation but also improves the integration of renewable energy sources and boosts energy storage capacities, particularly beneficial for less economically prosperous regions. Additionally, the study provides valuable insights for advancing energy research in economically viable areas. Recommendations included conducting site-specific assessments, utilizing advanced modeling tools, implementing regular maintenance protocols, and enhancing communication among system components.
Water scarcity is the lack of fresh water resources to meet the standard water demand. There are two type of water scarcity. One is physical. The other is economic water scarcity.
Welcome to WIPAC Monthly the magazine brought to you by the LinkedIn Group Water Industry Process Automation & Control.
In this month's edition, along with this month's industry news to celebrate the 13 years since the group was created we have articles including
A case study of the used of Advanced Process Control at the Wastewater Treatment works at Lleida in Spain
A look back on an article on smart wastewater networks in order to see how the industry has measured up in the interim around the adoption of Digital Transformation in the Water Industry.
Saudi Arabia stands as a titan in the global energy landscape, renowned for its abundant oil and gas resources. It's the largest exporter of petroleum and holds some of the world's most significant reserves. Let's delve into the top 10 oil and gas projects shaping Saudi Arabia's energy future in 2024.
Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Coverage Circuits
1. IOSR Journal of Electronics and Communication Engineering (IOSR-JECE)
e-ISSN: 2278-2834,p- ISSN: 2278-8735.Volume 10, Issue 6, Ver. I (Nov - Dec .2015), PP 36-42
www.iosrjournals.org
DOI: 10.9790/2834-10613642 www.iosrjournals.org 36 | Page
Vlsi Design of Low Transition Low Power Test Pattern Generator
Using Fault Coverage Circuits
1
B.Praveen Kumar , 2
M.Ravindra Kumar , 3
Rajesh.Ch
1,2,3
(Electronics & Communication Engineering, Pydah College of Engineering & Technology, India)
Abstract: Now a day’s highly integrated multi layer board with IC’s is virtually impossible to be accessed
physically for testing. The major problem detected during testing a circuit includes test generation and gate to
I/O pin problems. In design of any circuit, consuming low power and less hardware utilization is an important
design parameter. Therefore reliable testing methods are introduced which reduces the cost of the hardware
required and also power consumed by the device. In this project a new fault coverage test pattern generator is
generated using a linear feedback shift register called FC-LFSR which can perform fault analysis and reduces
the total power of the circuit. In this test, it generates three intermediate patterns between the random patterns
which reduces the transitional activities of primary inputs so that the switching activities inside the circuit under
test will be reduced. The test patterns generated are applied to c17 benchmark circuit, whose results with fault
coverage of the circuit being tested. The simulation for this design is performed using Xilinx ISE software using
Verilog hardware description language.
I. Introduction
Test Pattern generation has long been carried out by using conventional Linear Feedback Shift
Registers (LFSR’s). LFSR’s are a series of flip-flop’s connected in series with feedback taps defined by the
generator polynomial. The seed value is loaded into the outputs of the flip-flops. The only input required to
generate a random sequence is an external clock where each clock pulse can produce a unique pattern at the
output of the flip-flops.
The number of inputs required by the circuit under test must match with the number of flip-flop outputs
of the LFSR. This test pattern is run on the circuit under test for desired fault coverage. The power consumed by
the chip under test is a measure of the switching activity of the logic inside the chip which depends largely on
the randomness of the applied input stimulus. Reduced correlation between the successive vectors of the applied
stimulus into the circuit under test can result in much higher power consumption by the device than the
budgeted power. A new low power pattern generation technique is implemented using a modified conventional
Linear Feedback Shift Register [1].
Need for using BIST technique
Today’s highly integrated multi-layer boards with fine-pitch ICs are virtually impossible to be accessed
physically for testing. Traditional board test methods which include functional test, only accesses the board's
primary I/Os, providing limited coverage and poor diagnostics for board-network fault. In circuit testing, an-
other traditional test method works by physically accessing each wire on the board via costly "bed of nails"
probes and testers. To identify reliable testing methods which will reduce the cost of test equipment, a research
to verify each VLSI testing problems has been conducted. The major problems detected so far are as follows:
1.1 Test Generation Problems
The large number of gates in VLSI circuits has pushed computer automatic-test-generation times to
weeks or months of computation. The numbers of test patterns are becoming too large to be handled by an
external tester and this has resulted in high computation costs and has outstripped reasonable available time for
production testing.
1.2 The Gate to I/O Pin Ratio Problem
As ICs grow in gate counts, it is no longer true that most gate nodes are directly accessible by one of
the pins on the package. This makes testing of internal nodes more difficult as they could neither no longer be
easily controlled by signal from an input pin (controllability) nor easily observed at an output pin (observe
ability). Pin counts go at a much slower rate than gate counts, which worsens the controllability and observe
ability of internal gate nodes.
2. Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Coverage Circuits
DOI: 10.9790/2834-10613642 www.iosrjournals.org 37 | Page
II. Testing Schemes
Power dissipation is a challenging problem for today’s Sys-tem-on-Chips (SoCs) design and test. The
power dissipation in CMOS technology is either static or dynamic. Static power dissipation is primarily due to
the leakage currents and contribution to the total power dissipation is very small. The dominant factor in the
power dissipation is the dynamic power which is consumed when the circuit nodes switch from 0 to 1. During
switching, the power is consumed due to the short circuit current flow and the charging of load capacitances [1].
Automatic test equipment (ATE) is the instrumentation used in external testing to apply test patterns to
the CUT, to analyze the responses from the CUT, and to mark the CUT as good or bad according to the
analyzed responses. External testing using ATE has a serious disadvantage, since the ATE (control unit and
memory) is extremely expensive and cost is expected to grow in the future as the number of chip pins increases.
As the complexity of modern chips increases, external testing with ATE becomes extremely expensive. Instead,
Built-In Self-Test (BIST) is becoming more common in the testing of digital VLSI circuits since it overcomes
the problems of external testing using ATE. BIST test patterns are not generated ex-eternally as in case of ATE
[3].
BIST perform self-testing and reducing dependence on an external ATE. BIST is a Design-for-
Testability (DFT) technique makes the electrical testing of a chip easier, faster, more efficient and less costly.
The important to choose the proper LFSR architecture for achieving appropriate fault coverage and consume
less power. Every architecture consumes different power for same polynomial. Applications of LFSR: Pattern
generator, Low power testing, Data compression, and Pseudo Random Bit Sequences (PRBS).
2.1. Bist Architecture
A typical BIST architecture consists of
• TPG - Test Pattern Generator
• TRA – Test Response Analyzer
• Control Unit
As Shown in “Fig. 1”
“Fig.1 Test Pattern Generator In BIST”
It generates test pattern for CUT. It will be dedicated circuit or a micro processor. Pattern generated
may be pseudo random numbers or deterministic sequence. Here we are using a linear feedback shift register for
generating random number. The Architecture for LFSR is as shown in “Fig. 2”
3. Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Coverage Circuits
DOI: 10.9790/2834-10613642 www.iosrjournals.org 38 | Page
“Fig.2 The Architecture for LFSR”
Tapping can be taken as we wish but as per taping change the LFSR output generate will change and as
we change in no of flip-flop the probability of repetition of random number will reduce. The initial value
loading to the LFSR is known as seed value.
B. Test Response Analyzer (TRA)
TRA will check the output of MISR and verify with the input of LFSR & give the result as error or not.
C. BIST control unit (BCU)
Control unit is used to control all the operations. Mainly control unit will do configuration of CUT in
test mode/Normal mode, feed seed value to LFSR, and control MISR & TRA. It will generate interrupt if an
error occurs. You can clear interrupt by interrupt clear signal.
D. Circuit under Test (CUT)
CUT is the circuit or chip in which we are going to apply BIST for testing stuck at zero or stuck at one
error.
III. Low Transition Pattern Generations
The technique of inserting 3 intermediate vectors is achieved by modifying the conventional LFSR
circuit with two additional levels of logic between the conventional flip flop outputs and the low power outputs
as shown in “Fig: 3” The first level of hierarchy from the top down includes logic circuit design for propagating
either the present or the next state of flip flop to the second level of hierarchy [4]. The second level of hierarchy
is a multiplexer function that provides for selecting between the two states (present or next) to be propagated to
the outputs as low power output. Minimal at best consisting of few logic gates.
“Fig.3 Low Power Test Pattern LFSR”
In the simulation environment the outputs of the flip-flops are loaded with the seed vector. The
feedback taps are selected pertinent to the characteristic polynomial xg
+x+1. Only 2 inputs pins namely test
enable and clock are required to activate the generation of the pattern as well as simulation of the design circuit.
It is also noteworthy here that the intermediate vectors in addition to aiding in reducing the number of
transitions can also empirically assist in detecting faults just as good as the conventional lsfr pattern [1][5]
description of the technique to produce low power pattern for bit the following is a description of a low power
test pattern generation technique as depicted in the 9 –bit lsfr. The feedback taps are designed for maximal
length lsfr generating all zeros and all one’s as well.
4. Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Coverage Circuits
DOI: 10.9790/2834-10613642 www.iosrjournals.org 39 | Page
3.1 Algorithm For Low Power Lfsr
The first step is to generate it, the first vector by enabling (clocking) the first 4 bits of the LFSR and
disabling (not clocking) the last 4 bits .this shifts the first 4 bits to the right by one bit .the feedback bits of the
lsfr are the outputs of the 8th
and the first flip-flop.the output of the 8th
flip-flop is 1 and the output of the first
flip-flop is 0. The exclusive-or of the 8th
flip-flop (logic 1 in this case) and the first flip-flop (logic 0 in this case)
is input (1 exor 0 =1) into the first d flip flop the new pattern in the first four bits of the lsfr is 1010.note that the
shaded register is clocked along with the first 4 bits of the lsfr.
So the input of the shaded flip-flop is the output of the 4th
flip-flop which in this case is 0. Also note
that prior to the first clock, the input of the shaded register was the seed value of the 4 th
at the output of the 4 th
flip-flop which in this case is 0. So after the first clock this value of 0 will now appear at the output of the
shaded flip-flop. In other words the value of the 4 th
output is stored in this shaded register and is used in the next
few steps. The first 4 shifted bits of the LFSR and the last 4 Th
un-shifted bits (i.e. the seed value) are propagated
as ti (1010 1011) to the final outputs. Next few steps involve generating the 3 intermediate patterns from it.
These patterns are defined as Tk1, Tk2 and Tk3 shown in “Fig. 4.”below flow.Tk1 is generated by
maintaining (disabling the clock to the first 4 bits)the first four bits of the LFSR outputs(as is from it) as the
final first four low power outputs 1010.note that the clock to the last four bits of the I.fsr is also disabled.
The last four bits however are the outputs from the injector circuits. The injector circuit compares the
next value (the inputs of the D –flip-flop) with the current value (the output of the D flip-flop). According to Ti,
the outputs(current values) of the last 4 bits of the LFSR are 1011. The next values are the values at the inputs of
the D-flip-flops which in this case are 0101. Compare the current values (1011) bit by bit with the next values
(0101). if the values bit by bit are not the same then use the random generator feedback R (in this case is logic
1)as the bit value as shown in the schematic above. If however both values bit by bit are the same then
propagate that bit value to output as opposed to the R bit. This bit by bit comparison gives us the last four bits of
Tk1 to be 1111.therfore Tk1=10101111.next step is to generate Tk2.shift the last 4 flip-flops to the right one bit
but do not shift the first 4 flip –flops to the right. The clock to the first 4 bits the shaded flip-flop is disabled .the
clock to the last 4 bits is enabled. Propagate the outputs of the flip-flops of the entire LFSR as opposed to the
outputs of the injection circuits to the outputs (low power). The injection circuits are disabled .as in Tk1,
maintain the first four LFSR outputs (1010) as the low power outputs. Again from Tk1, the inputs of the last
four d flip-flops from the previous step (generating Tk1) are 0101.also note that the output of the shaded register
is 0 from the previous step (generating Tk1).therefore the input of the 5 th
flip-flop is a 0. The outputs of the last
4flip-flops are 0101resulting in Tk2=10100101.
The 3rd
intermediate vector Tk3 is generated via disabling the clock to the entire LFSR. Propagate the
first 4 outputs from the injection circuit as the first 4 low power outputs and maintain the last 4low power
outputs the same as Tk2. generating injection circuit outputs for Tk3 is conceptually the same as explained
above in generating Tk1current values (the outputs of the flip-flops) of the first four flip-flops are compared
with the next values (the inputs of the flip-flops) of the flip-flops therefore the logical feed forward value of r is
1. The feedback value from the first flip-flop is also 1as per the current values above. The exclusive or of two
ones is a 0. Therefore the input to the first flip-flop is a 0 which is also the next state of the first flip-flop. Hence
the next values are 0 for the first flip-flop and 101 for the 2nd
3 rd
and4 rd
flip-floprespectively.the next values are
0101.the first four outputs from the injection circuit are 1111. The last 4 outputs are the same as Tk2 which are
0101 resulting in the 3rd
and final intermediate vector Tk3=1111 0101.generating Ti2 is quite similar to
generating it. As in Tk3 the outputs of the last four LFSR flops are 0101.the outputs of the first 4 flip-flops of
the LFSR are the current values which are 1010.therefore the seed vector for generating Ti2 is 1010 0101.shift
the first four bits of the LFSR plus the shadedflip-flop.do not clock the last four flip-flops. Propagate the outputs
of the entire LFSR to the final low power outputs. The output of the 8th
flip-flop from the previous step
(generating Tk3) is a 1 and the output of the first flip-flop from the previous step(generating Tk3) is also a 1 .
The exclusive or of the output of the 8th
flip-flop and the first flip-flop is 0 .therefore the input to the
first flip-flop will be a 0.
The inputs to the 2nd
,3 rd
and 4th
the shaded flip-flops are 1010.these are also the current values from the
previous step (generating Tk3).shifting the first four flip-flops of the LFSR to the right by one bit results in 0101
as the outputs of the first four flip-flops. Therefore Ti2 generated is 0101 0101.
5. Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Coverage Circuits
DOI: 10.9790/2834-10613642 www.iosrjournals.org 40 | Page
Fig.4 C17 Bench Mark Circuit
IV. Simulation Results
The design is verified by performing behavioral simulation in “Fig.5”,”Fig.6” and “Fig.7” to do a high
–level or behavioral simulator is used, which executes the design by interpreting the verilog code like any other
programming language, i.e. regardless of the target architecture .at this stage, FPGA development is much like
software development signals and variables may be watched procedures and functions may be traced and
breakpoints may be set. The entire process is very fast, as the design is not synthesized, thus giving the
developer a quick and complete understanding of the design. The downside of behavioral simulation is that
specific properties of the target architecture namely timing and resource usage are not covered.
“Fig.5 RTL Schematic of LT’LFSR.”
“Fig.6 Simulation Report of LT-LFSR with Fault”
6. Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Coverage Circuits
DOI: 10.9790/2834-10613642 www.iosrjournals.org 41 | Page
“Fig.7 Simulation Report Of LT-LFSR Without Fault”
V. Synthesis Result
Synthesis is the process of translating verilog HDL to a net list ,which is built from a structure of
micros, e.g. adders, multiplexers and shift registers chip synthesizers perform optimizations especially hierarchy
flattening and optimization of combinational paths. Specific cores like RAMS or ROMS are treated as black
boxes. Recent tool can duplicate registers, perform re-timing or optimize this result according to given
constraints shown in “Fig. 9”, “Table 1” and “Table 2”
“Fig.8 Synthesis Results For LT-LFSR.”
Existing results Proposed result
Logic Utilization Used(Available) Used(Available)
Number of slice Flip-flops 251(3,840) 18(4,800)
Number of 4 input LUTs 12(3,840) 16(2,400)
Number of occupied slices 45(1,920) 9(600)
Number of slices
containing only related
logic
45(21) 9(20)
Number of slices
containing unrelated logic
0(21) 7(20)
Number of bounded IOBS 64(173) 14(102)
Power consumption 14 mw 12.19 mw
Fault coverage 100% 100%
“Table I. Synthesis And Par Report”
7. Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Coverage Circuits
DOI: 10.9790/2834-10613642 www.iosrjournals.org 42 | Page
Circuit Frequency(
MHZ)
Total
power(
mw)
Dynamic
power(mw)
Quiescent
power(mw)
C17 139 12.19 0.96 11.23
“Table Ii. Power Analysis Report”
VI. Conclusion
The proposed approach shows the concept of reducing the transitions in the test pattern generated; the
transition is reduced by increasing the correlation between the successive bits. The simulation results shows that
how the patterns are generated for the applied seed vector. This paper presents the implementation with regard
to verilog language. Synthesizing and implementation (i.e. Translate, Map And Place And Route) of the code is
carried out on Xilinx- project navigator, ISE12.li suite .the power reports shows that the proposed low power
LFSR consumes less power (12.19mw) during testing by taking the benchmark circuit C17.in future there is a
chance to reduce the power somewhat more by doing modifications in the proposed architecture.
References
[1] Afzali.A, Alisaface.M, Atoofian.E, Hatami.S and Navabi.Z,A New Low-Power Scan-Path Architecture,‟‟ IEEE International
Symposium, Vol.5, pp.5278 - 5281, 23-26 May 2005.
[2] Crouch.A, Design-for-Test for Digital IC's and Embedded Core Systems,‟‟ Prentice Hall, 1999.
[3] M.Aubertin,Member,IEEE, J. M. Pierre Langlois, Member, IEEE, Munshin,Member,IEEE “A New Approach to Design Fault
Coverage Circuit with Efficient Hardware Utilization for Testing Applications” IEEE transactions on very large scale integration
(vlsi) systems, vol. 21, no. 5, may 2013
[4] Mehrdad Nourani, Mohammad Tehranipoor, Nisar Ahmed,„„Low- Transition LFSR for BIST-Based Applications,‟‟14th Asian
Test Symposium, pp. 138- 143, 18-21 Dec. 2005.176
[5] M. ElShoukry, C. P. Ravikumar, and M. Tehranipoor, “Partial gating optimization for power reduction during test application,” in
Proceedings of the 14th Asian Test Symposium (ATS '05), pp. 242– 245, ind, December 2005.
[6] N. Basturkmen, S. Reddy and I. Pomeranz, “A Low power Pseudo-Random BIST Technique,” in Proc. Int. Conf. on Computer
Design (ICCD‟02), pp. 468-473, 2002
[7] P. Girard, “Survey of low-power testing of VLSI circuits,” IEEE Design and Test of Computers, vol. 19, no. 3, pp. 80–90, 2002.
[8] S.Wang, “Generation of Low Power Dissipation and High Fault Coverage Patterns for Scan-Based BIST,” in Proc. Int. Test Conf.
(ITC‟02), pp. 834-843, 2002.