SlideShare a Scribd company logo
1 of 3
Download to read offline
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056
Volume: 07 Issue: 01 | Jan 2020 www.irjet.net p-ISSN: 2395-0072
© 2020, IRJET | Impact Factor value: 7.34 | ISO 9001:2008 Certified Journal | Page 259
CLOCK GATING OF STREAMING APPLICATIONS FOR POWER
MINIMIZATION ON FPGA’S
M. Venkata Raghudeep1, K. Anji Babu2
1Student, Dept of ECE, A.K.R.G College of Engineering and Technology, JNTU Kakinada, AP, India
2Assistant Professor, Dept of ECE, A.K.R.G College of Engineering and Technology, JNTU Kakinada, AP, India
---------------------------------------------------------------------***---------------------------------------------------------------------
Abstract - This project investigates the reduction of dynamic
power for streaming applications yielded by asynchronous
dataflow designs by using clock gating techniques. Streaming
applications constitute a very broad class of computing
algorithms in areas such as signal processing, digital media
coding, cryptography, video analytics, network routing,
packet processing, etc. This project introduces a set of
techniques that, considering the dynamic streaming behavior
of algorithms, can achieve power savings by selectively
switching off parts of the circuits when they are temporarily
inactive. The techniques being independent from the
semantic of the application can be applied to any application
and can be integrated into the synthesis stage of a high-
level dataflow design flow. Experimental results of at-size
applications synthesized on field-programmable gatearrays
platforms demonstrate power reductions achievable with
no loss in data throughput.
Key Words: Clock gating, Streaming applications, Power
saving, Field programmable gate arrays, Data flow.
1. INTRODUCTION
In the past, the major concernsoftheVLSIdesigner were
area, performance,costandreliability;powerconsiderations
were mostly of only secondary importance. In recent years,
however, this has begun to change and, increasingly, power
is being given comparable weight to area and speed. Several
factors have contributed to this trend. Perhaps the primary
driving factor has been the remarkable success and growth
of the class of personal computing devices (portable
desktops, audio- and video-based multimedia products)and
wirelesscommunicationssystemswhichdemandhigh-speed
computation and complex functionality with low power
consumption.
1.1 Streaming Applications
Many applications in embedded systems perform the
same or similar operations on regular sequences of data,
which can be categorized as streaming applications. For
example, on a smart-phone, one can easily find many
streaming applications that are essential to thefunctionality
of the system, like wireless communication, high-definition
video/audio codes and 3D graphics rendering. A streaming
application usually contains a set of independentprocessing
stages. The structure of a H.264 video codec, which is a
typical streaming application. The different stages or the
codec form a pipeline that is used to encode or decode
videos frames. A frame in a H.264 streamcan beanI-frame,a
P-frame or a B-frame.
The streaming processing structure in these applications
creates lots of optimization opportunities, such as pipelined
parallel execution of differentparts,andexploitingdata level
parallelism in certain stages. More importantly, streaming
applications are among the most performance demanding
applications in embedded systems.The rapidintroductionof
these applications becomes an important driving force for
the development of new embedded technologies. So this
thesis focuses on developing energy efficient architectures
and compilation techniques for streaming applications.
1.2 Clock Gating
Clock gating is a popular technique used in many
synchronous circuits for reducing dynamic power
dissipation. Clock gating saves power by adding more logic
to a circuit to prune the clock tree. Pruningtheclock disables
portions of the circuitry so that the flip-flops in them do not
have to switch states. Switching states consumes power.
When not being switched, the switchingpowerconsumption
goes to zero, and only leakage currents are incurred. Clock
gating is a methodology of turning off the clock for a
particular block when it is not needed and is used by most
SoC designs today as an effective technique to save dynamic
power.
The simplest and most common form of clock gating is
when a logical “AND” function is used to selectively disable
the clock to individual blocks by a control signal, as
illustrated in Figure.1 During synthesis, the tools identify
groups of FFs which share a common enable control signal
and use them to selectively switch off the clocks to those
groups of flops. Both of these clock-gating methods will
eventually introduce physical gates in the clock paths which
control their downstream clocks. These gates could
introduce clock skew and lead to setup and hold-time
violations even when mapped into the SoC. However, this is
compensated for by the clock-tree synthesisandlayouttools
at various stages of the SoC back-end flow.
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056
Volume: 07 Issue: 01 | Jan 2020 www.irjet.net p-ISSN: 2395-0072
© 2020, IRJET | Impact Factor value: 7.34 | ISO 9001:2008 Certified Journal | Page 260
Fig -1: Block Diagram of Clock Gating
2. PROPOSED SYSTEM
Current FPGA families support differentCGstrategiesand
each manufacturer provides its own IP for managing
these different approaches. The methodology described
here is based on using primitives specific to Xilinx FPGA
architectures. However, this methodology can be modified
to support other FPGA vendors primitives.
The execution of a dataflow program consists of a
sequence of action firings. These firings can be correlated
to each other in a graph-based representation using an
approach called executiontracegraphing(ETG).Thegraphis
an acyclic directed graph where each node represents an
action firing, and a directed arc represents either a data or a
control dependency between two different action firings.
3. IMPLEMENTATION
Algorithm:
1) Step1: Initialize en=1.when F=0,AF=0;
2) Step2: Now queue has empty space. Wait with en=1
until AF=1.
If AF=1 go to next state.
3) Step3: Now CG-ckt ready to give en=0. If AF=0again
go to step2.
Else if AF=1, F=0 be in the same state.
Else F=1, AF=1 go to next state.
4) Step4: Now queue is in full state so,disableclk input
to actor by asserting en=0;
5) Step5: Wait until F=0;
If AF=1, F=1 be in the same state.
Else F=0, AF=1 go to next state;
6) Step6: Now queue is in almost full state en=1;
7) If F=0,AF=0 queue has empty spacethengotostep2;
8) Elseif
F=1,
AF=1
again goto step5.
The controller is implemented as a finite state machine
(FSM) having a clock; a reset; input F, for full; input AF, for
almost full; and output EN, for enable. TheAFinputbecomes
active high when there is only one space left on its FIFO
Queue. Its FSM has five states S ={ INIT , SPACE , AFULL _
DISABLE , FULL , AFULL _ ENABLE } . The controller starts
with the INIT state and maintains the EN output port at
active high until F and AF become active low. The activehigh
EN is maintained during the SPACE state.
As a queue becomes full, the state changes to AFULL _
DISABLE .In this state, the EN output passes to an activelow.
A conservative approach is taken in this state astheBUFGCE
disables the output clock on the high-to-low edge. The clock
enables entering the BUFGCE should be synchronized to the
input clock. Once the queue becomes full, the controller
maintains the EN at active low.
Fig -2: State machine of the clock enabling controller.
The power reduction gain of the aforse
mentioned methodology is evaluated by applying it to a
video decoder design. In the reader can find a variety of
RVC-CAL applications for dataflow programs. One of these
applications is the intra MPEG-4 simpleprofiledecoder. Due
to restrictions on the number of clock buffers in Xilinx
FPGAs, the design selected was re factored to result in 32
actors.
The intra MPEG-4 SP description contains 32
actors and it is 4:2:0 decoder which is separated into eight
processing blocks.
Fig -3: streaming application element (De-blocking filter)
with clock gating.
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056
Volume: 07 Issue: 01 | Jan 2020 www.irjet.net p-ISSN: 2395-0072
© 2020, IRJET | Impact Factor value: 7.34 | ISO 9001:2008 Certified Journal | Page 261
4. RESULTS:
Table -1: comparison table of proposed and extension
method
PROJECT SLICE
LUTS
SLICE
REGISTERS
LUT-
FFS
DELAY
PROPOSED 368 171 97 8.775ns
EXTENSION 268 155 81 8.775ns
4. CONCLUSION
This project presents a CG methodology applied to
dataflow designs that can be automatically included in the
synthesis stage of an HLS design flow. The application of the
power saving technique is independent from the schematic
of application and does not need any additional step or
effort during the “design” of the application at the
dataflow program level. The CG logic is generated during
the synthesis stage together with the synthesis of the
computational kernels connected via FIFO queues
constituting the dataflow network. Conceivably, these
techniques could be extended to other dataflow methods of
computation. Experimental results are very encouraging:
savings in power dissipation achieved with a slight
increase in control logic without any reduction in
throughput have been achieved. Unsurprisingly, CG is
attractive in situations where the design isnotusedtoitsfull
capacity. In these circumstances CG is a simple, automatic,
and effective way to recover power otherwise lost in “idle”
cycles. As a result, this techniqueisparticularlyinterestingin
applications with dynamically varying performance
requirements, when designing to a particular
performance point is impossible, and when power
consumption is deemed costly. Further investigations into
CG should consider more aggressive control logic,
whereby control is given to each individual actor,
allowing greater flexibility to actor inactivity.
Furthermore, it will be necessary to develop tools
that partition complex applications onto the limited
number of clock domains for more efficient
implementations. Lastly, additional considerations could
be given to controlling clock speed and, possibly, voltage
transitions.
REFERENCES
[1] M. Pedram, “Power minimization in IC design:Principles
and applications,” ACM Trans. Design Autom.
Electron. Syst. , vol. 1, no. 1, pp. 3–56, Jan. 1996. [Online].
Available: http://doi. acm . org/10 . 1145/225871 . 225877
[2] Q. Wu, M. Pedram and X. Wu, “Clock-gating and
its application to low power design of sequential
circuits,” IEEE Trans. Circuits Syst. I, Fundam. Theory
Appl. , vol. 47, no. 3, pp. 415–420, Mar. 2000.
[3] G. E. Tellez, A. Farrahi, and M. Sarrafzadeh, “Activity-
driven clock design for low power circuits,” in IEEE/ACM
Int. Conf. Comput.-Aided Design Dig. Tech. Papers (ICCAD)
San Jose, CA, USA, Nov. 1995, pp. 62–65.
[4] E. A. Lee and A. Sangiovanni-Vincentelli, “Comparing
models of computation,” in Proc. IEEE/ACM Int. Conf.
Comput.-Aided Design , Austin, TX, USA, 1997, pp. 234–241.
[5] G. Kahn, “The semantics of simple language for parallel
programming,” in Proc. IFIP Congr. , Stockholm, Sweden,
1974, pp. 471–475.
[6] E. A. Lee and D. G. Messerschmitt, “Static scheduling of
synchronous data flow programs for digital signal
processing,” IEEE Trans. Comput. , vol. 36, no. 1, pp. 24–35,
Jan. 1987.
[7] E. A. Lee and T. M. Parks, “Dataflow process networks,”
Proc. IEEE, vol. 83, no. 5, pp. 773–801, May 1995.
[8] S. Suhaib, D. Mathaikutty, and S. Shukla, “Dataflow
architectures for GALS,” Electron. Notes Theory. Comput.
Sci. , vol. 200, no. 1, pp. 33–50, 2008.
[9] T.-Y. Wuu and S. B. K. Vrudhula, “Synthesis of
asynchronous systems from data flow specification,”Inf.Sci.
Inst., Univ. Southern California, Los Angeles, CA, USA, Tech.
Rep. ISI/RR-93-366, Dec. 1993.

More Related Content

What's hot

Implementation of 32 Bit Binary Floating Point Adder Using IEEE 754 Single Pr...
Implementation of 32 Bit Binary Floating Point Adder Using IEEE 754 Single Pr...Implementation of 32 Bit Binary Floating Point Adder Using IEEE 754 Single Pr...
Implementation of 32 Bit Binary Floating Point Adder Using IEEE 754 Single Pr...iosrjce
 
IRJET- Analysis of 3-Phase Induction Motor with High Step-Up PWM DC-DC Conver...
IRJET- Analysis of 3-Phase Induction Motor with High Step-Up PWM DC-DC Conver...IRJET- Analysis of 3-Phase Induction Motor with High Step-Up PWM DC-DC Conver...
IRJET- Analysis of 3-Phase Induction Motor with High Step-Up PWM DC-DC Conver...IRJET Journal
 
Design of low power barrel shifter and rotator using two phase clocked adiaba...
Design of low power barrel shifter and rotator using two phase clocked adiaba...Design of low power barrel shifter and rotator using two phase clocked adiaba...
Design of low power barrel shifter and rotator using two phase clocked adiaba...eSAT Publishing House
 
Tracy–Widom distribution based fault detection approach: Application to aircr...
Tracy–Widom distribution based fault detection approach: Application to aircr...Tracy–Widom distribution based fault detection approach: Application to aircr...
Tracy–Widom distribution based fault detection approach: Application to aircr...ISA Interchange
 
Design and Implementation of Single Precision Pipelined Floating Point Co-Pro...
Design and Implementation of Single Precision Pipelined Floating Point Co-Pro...Design and Implementation of Single Precision Pipelined Floating Point Co-Pro...
Design and Implementation of Single Precision Pipelined Floating Point Co-Pro...Silicon Mentor
 
A portable hardware in-the-loop device for automotive diagnostic control systems
A portable hardware in-the-loop device for automotive diagnostic control systemsA portable hardware in-the-loop device for automotive diagnostic control systems
A portable hardware in-the-loop device for automotive diagnostic control systemsISA Interchange
 
Challenges in testing iec61850 ver 3
Challenges in testing  iec61850 ver 3Challenges in testing  iec61850 ver 3
Challenges in testing iec61850 ver 3Jay Gosalia
 
FPGA BASED ADAPTIVE NEURO FUZZY INFERENCE CONTROLLER FOR FULL VEHICLE NONLINE...
FPGA BASED ADAPTIVE NEURO FUZZY INFERENCE CONTROLLER FOR FULL VEHICLE NONLINE...FPGA BASED ADAPTIVE NEURO FUZZY INFERENCE CONTROLLER FOR FULL VEHICLE NONLINE...
FPGA BASED ADAPTIVE NEURO FUZZY INFERENCE CONTROLLER FOR FULL VEHICLE NONLINE...gerogepatton
 
RT15 Berkeley | Real-Time Simulation of A Modular Multilevel Converter Based ...
RT15 Berkeley | Real-Time Simulation of A Modular Multilevel Converter Based ...RT15 Berkeley | Real-Time Simulation of A Modular Multilevel Converter Based ...
RT15 Berkeley | Real-Time Simulation of A Modular Multilevel Converter Based ...OPAL-RT TECHNOLOGIES
 
A04310104
A04310104A04310104
A04310104theijes
 
IRJET - High Speed Approximation Error Tolerance Adders for Image Processing ...
IRJET - High Speed Approximation Error Tolerance Adders for Image Processing ...IRJET - High Speed Approximation Error Tolerance Adders for Image Processing ...
IRJET - High Speed Approximation Error Tolerance Adders for Image Processing ...IRJET Journal
 
Parallel distribution compensation PID based on Takagi-Sugeno fuzzy model app...
Parallel distribution compensation PID based on Takagi-Sugeno fuzzy model app...Parallel distribution compensation PID based on Takagi-Sugeno fuzzy model app...
Parallel distribution compensation PID based on Takagi-Sugeno fuzzy model app...IJECEIAES
 
DESIGN AND IMPLEMENTATION OF 64-BIT ARITHMETIC LOGIC UNIT ON FPGA USING VHDL
DESIGN AND IMPLEMENTATION OF 64-BIT ARITHMETIC LOGIC UNIT ON FPGA USING VHDLDESIGN AND IMPLEMENTATION OF 64-BIT ARITHMETIC LOGIC UNIT ON FPGA USING VHDL
DESIGN AND IMPLEMENTATION OF 64-BIT ARITHMETIC LOGIC UNIT ON FPGA USING VHDLsateeshkourav
 
Automatic Generation Control of Multi-Area Power System with Generating Rate ...
Automatic Generation Control of Multi-Area Power System with Generating Rate ...Automatic Generation Control of Multi-Area Power System with Generating Rate ...
Automatic Generation Control of Multi-Area Power System with Generating Rate ...IJAPEJOURNAL
 
Fuzzy gain scheduling control apply to an RC Hovercraft
Fuzzy gain scheduling control apply to an RC Hovercraft  Fuzzy gain scheduling control apply to an RC Hovercraft
Fuzzy gain scheduling control apply to an RC Hovercraft IJECEIAES
 
Digital Implementation of Fuzzy Logic Controller for Real Time Position Contr...
Digital Implementation of Fuzzy Logic Controller for Real Time Position Contr...Digital Implementation of Fuzzy Logic Controller for Real Time Position Contr...
Digital Implementation of Fuzzy Logic Controller for Real Time Position Contr...IOSR Journals
 
Design of Fuzzy Logic Controller for Speed Regulation of BLDC motor using MATLAB
Design of Fuzzy Logic Controller for Speed Regulation of BLDC motor using MATLABDesign of Fuzzy Logic Controller for Speed Regulation of BLDC motor using MATLAB
Design of Fuzzy Logic Controller for Speed Regulation of BLDC motor using MATLABijsrd.com
 

What's hot (18)

Implementation of 32 Bit Binary Floating Point Adder Using IEEE 754 Single Pr...
Implementation of 32 Bit Binary Floating Point Adder Using IEEE 754 Single Pr...Implementation of 32 Bit Binary Floating Point Adder Using IEEE 754 Single Pr...
Implementation of 32 Bit Binary Floating Point Adder Using IEEE 754 Single Pr...
 
IRJET- Analysis of 3-Phase Induction Motor with High Step-Up PWM DC-DC Conver...
IRJET- Analysis of 3-Phase Induction Motor with High Step-Up PWM DC-DC Conver...IRJET- Analysis of 3-Phase Induction Motor with High Step-Up PWM DC-DC Conver...
IRJET- Analysis of 3-Phase Induction Motor with High Step-Up PWM DC-DC Conver...
 
Design of low power barrel shifter and rotator using two phase clocked adiaba...
Design of low power barrel shifter and rotator using two phase clocked adiaba...Design of low power barrel shifter and rotator using two phase clocked adiaba...
Design of low power barrel shifter and rotator using two phase clocked adiaba...
 
Tracy–Widom distribution based fault detection approach: Application to aircr...
Tracy–Widom distribution based fault detection approach: Application to aircr...Tracy–Widom distribution based fault detection approach: Application to aircr...
Tracy–Widom distribution based fault detection approach: Application to aircr...
 
Design and Implementation of Single Precision Pipelined Floating Point Co-Pro...
Design and Implementation of Single Precision Pipelined Floating Point Co-Pro...Design and Implementation of Single Precision Pipelined Floating Point Co-Pro...
Design and Implementation of Single Precision Pipelined Floating Point Co-Pro...
 
A portable hardware in-the-loop device for automotive diagnostic control systems
A portable hardware in-the-loop device for automotive diagnostic control systemsA portable hardware in-the-loop device for automotive diagnostic control systems
A portable hardware in-the-loop device for automotive diagnostic control systems
 
Fuzzy imp in part
Fuzzy imp in partFuzzy imp in part
Fuzzy imp in part
 
Challenges in testing iec61850 ver 3
Challenges in testing  iec61850 ver 3Challenges in testing  iec61850 ver 3
Challenges in testing iec61850 ver 3
 
FPGA BASED ADAPTIVE NEURO FUZZY INFERENCE CONTROLLER FOR FULL VEHICLE NONLINE...
FPGA BASED ADAPTIVE NEURO FUZZY INFERENCE CONTROLLER FOR FULL VEHICLE NONLINE...FPGA BASED ADAPTIVE NEURO FUZZY INFERENCE CONTROLLER FOR FULL VEHICLE NONLINE...
FPGA BASED ADAPTIVE NEURO FUZZY INFERENCE CONTROLLER FOR FULL VEHICLE NONLINE...
 
RT15 Berkeley | Real-Time Simulation of A Modular Multilevel Converter Based ...
RT15 Berkeley | Real-Time Simulation of A Modular Multilevel Converter Based ...RT15 Berkeley | Real-Time Simulation of A Modular Multilevel Converter Based ...
RT15 Berkeley | Real-Time Simulation of A Modular Multilevel Converter Based ...
 
A04310104
A04310104A04310104
A04310104
 
IRJET - High Speed Approximation Error Tolerance Adders for Image Processing ...
IRJET - High Speed Approximation Error Tolerance Adders for Image Processing ...IRJET - High Speed Approximation Error Tolerance Adders for Image Processing ...
IRJET - High Speed Approximation Error Tolerance Adders for Image Processing ...
 
Parallel distribution compensation PID based on Takagi-Sugeno fuzzy model app...
Parallel distribution compensation PID based on Takagi-Sugeno fuzzy model app...Parallel distribution compensation PID based on Takagi-Sugeno fuzzy model app...
Parallel distribution compensation PID based on Takagi-Sugeno fuzzy model app...
 
DESIGN AND IMPLEMENTATION OF 64-BIT ARITHMETIC LOGIC UNIT ON FPGA USING VHDL
DESIGN AND IMPLEMENTATION OF 64-BIT ARITHMETIC LOGIC UNIT ON FPGA USING VHDLDESIGN AND IMPLEMENTATION OF 64-BIT ARITHMETIC LOGIC UNIT ON FPGA USING VHDL
DESIGN AND IMPLEMENTATION OF 64-BIT ARITHMETIC LOGIC UNIT ON FPGA USING VHDL
 
Automatic Generation Control of Multi-Area Power System with Generating Rate ...
Automatic Generation Control of Multi-Area Power System with Generating Rate ...Automatic Generation Control of Multi-Area Power System with Generating Rate ...
Automatic Generation Control of Multi-Area Power System with Generating Rate ...
 
Fuzzy gain scheduling control apply to an RC Hovercraft
Fuzzy gain scheduling control apply to an RC Hovercraft  Fuzzy gain scheduling control apply to an RC Hovercraft
Fuzzy gain scheduling control apply to an RC Hovercraft
 
Digital Implementation of Fuzzy Logic Controller for Real Time Position Contr...
Digital Implementation of Fuzzy Logic Controller for Real Time Position Contr...Digital Implementation of Fuzzy Logic Controller for Real Time Position Contr...
Digital Implementation of Fuzzy Logic Controller for Real Time Position Contr...
 
Design of Fuzzy Logic Controller for Speed Regulation of BLDC motor using MATLAB
Design of Fuzzy Logic Controller for Speed Regulation of BLDC motor using MATLABDesign of Fuzzy Logic Controller for Speed Regulation of BLDC motor using MATLAB
Design of Fuzzy Logic Controller for Speed Regulation of BLDC motor using MATLAB
 

Similar to Clock Gating of Streaming Applications for Power Minimization on FPGA’s

Design of Low Power Sequential System Using Multi Bit FLIP-FLOP With Data Dri...
Design of Low Power Sequential System Using Multi Bit FLIP-FLOP With Data Dri...Design of Low Power Sequential System Using Multi Bit FLIP-FLOP With Data Dri...
Design of Low Power Sequential System Using Multi Bit FLIP-FLOP With Data Dri...IJERA Editor
 
IRJET- Design and Implementation of High Speed FPGA Configuration using SBI
IRJET- Design and Implementation of High Speed FPGA Configuration using SBIIRJET- Design and Implementation of High Speed FPGA Configuration using SBI
IRJET- Design and Implementation of High Speed FPGA Configuration using SBIIRJET Journal
 
IRJET-Power Efficient Implementation of Asynchronous Counter using Intelligen...
IRJET-Power Efficient Implementation of Asynchronous Counter using Intelligen...IRJET-Power Efficient Implementation of Asynchronous Counter using Intelligen...
IRJET-Power Efficient Implementation of Asynchronous Counter using Intelligen...IRJET Journal
 
Design & implementation of 16 bit low power ALU with clock gating
Design & implementation of 16 bit low power ALU with clock gatingDesign & implementation of 16 bit low power ALU with clock gating
Design & implementation of 16 bit low power ALU with clock gatingIRJET Journal
 
IRJET- Fault Classification using Fuzzy for Grid Connected PV System
IRJET- Fault Classification using Fuzzy for Grid Connected PV SystemIRJET- Fault Classification using Fuzzy for Grid Connected PV System
IRJET- Fault Classification using Fuzzy for Grid Connected PV SystemIRJET Journal
 
Performance Comparison of Various Clock Gating Techniques
Performance Comparison of Various Clock Gating TechniquesPerformance Comparison of Various Clock Gating Techniques
Performance Comparison of Various Clock Gating Techniquesiosrjce
 
Automation of Instrument Air Distribution System using Arduino and Integrate ...
Automation of Instrument Air Distribution System using Arduino and Integrate ...Automation of Instrument Air Distribution System using Arduino and Integrate ...
Automation of Instrument Air Distribution System using Arduino and Integrate ...IRJET Journal
 
DESIGN AND ANALYSIS OF A 32-BIT PIPELINED MIPS RISC PROCESSOR
DESIGN AND ANALYSIS OF A 32-BIT PIPELINED MIPS RISC PROCESSORDESIGN AND ANALYSIS OF A 32-BIT PIPELINED MIPS RISC PROCESSOR
DESIGN AND ANALYSIS OF A 32-BIT PIPELINED MIPS RISC PROCESSORVLSICS Design
 
DESIGN AND ANALYSIS OF A 32-BIT PIPELINED MIPS RISC PROCESSOR
DESIGN AND ANALYSIS OF A 32-BIT PIPELINED MIPS RISC PROCESSORDESIGN AND ANALYSIS OF A 32-BIT PIPELINED MIPS RISC PROCESSOR
DESIGN AND ANALYSIS OF A 32-BIT PIPELINED MIPS RISC PROCESSORVLSICS Design
 
Design and Analysis of A 32-bit Pipelined MIPS Risc Processor
Design and Analysis of A 32-bit Pipelined MIPS Risc ProcessorDesign and Analysis of A 32-bit Pipelined MIPS Risc Processor
Design and Analysis of A 32-bit Pipelined MIPS Risc ProcessorVLSICS Design
 
IRJET- Design and Verification of APB Protocol by using System Verilog and Un...
IRJET- Design and Verification of APB Protocol by using System Verilog and Un...IRJET- Design and Verification of APB Protocol by using System Verilog and Un...
IRJET- Design and Verification of APB Protocol by using System Verilog and Un...IRJET Journal
 
Modernization of Electrical Substation Automation Systems Using IEC61850
Modernization of Electrical Substation Automation Systems Using IEC61850Modernization of Electrical Substation Automation Systems Using IEC61850
Modernization of Electrical Substation Automation Systems Using IEC61850IRJET Journal
 
Implementation of CAN on FPGA for Security Evaluation Purpose
Implementation of CAN on FPGA for Security Evaluation PurposeImplementation of CAN on FPGA for Security Evaluation Purpose
Implementation of CAN on FPGA for Security Evaluation PurposeIRJET Journal
 
Design a Low Power Flip-Flop Based on a Signal Feed-Through Scheme
Design a Low Power Flip-Flop Based on a Signal Feed-Through SchemeDesign a Low Power Flip-Flop Based on a Signal Feed-Through Scheme
Design a Low Power Flip-Flop Based on a Signal Feed-Through SchemeIRJET Journal
 
Development of Software for Estimation of Structural Dynamic Characteristics ...
Development of Software for Estimation of Structural Dynamic Characteristics ...Development of Software for Estimation of Structural Dynamic Characteristics ...
Development of Software for Estimation of Structural Dynamic Characteristics ...IRJET Journal
 
Simulation and Modelling of 3-Floor Elevator System using PLC
Simulation and Modelling of 3-Floor Elevator System using PLCSimulation and Modelling of 3-Floor Elevator System using PLC
Simulation and Modelling of 3-Floor Elevator System using PLCIRJET Journal
 
Transforming a traditional home gateway into a hardwareaccelerated SDN switch
Transforming a traditional home gateway into a hardwareaccelerated SDN switchTransforming a traditional home gateway into a hardwareaccelerated SDN switch
Transforming a traditional home gateway into a hardwareaccelerated SDN switchIJECEIAES
 
IRJET- FPGA based Controller Design for Mobile Robots
IRJET- FPGA based Controller Design for Mobile RobotsIRJET- FPGA based Controller Design for Mobile Robots
IRJET- FPGA based Controller Design for Mobile RobotsIRJET Journal
 
Implementation of T-Junction Traffic Light Control System Using Simatic S7-20...
Implementation of T-Junction Traffic Light Control System Using Simatic S7-20...Implementation of T-Junction Traffic Light Control System Using Simatic S7-20...
Implementation of T-Junction Traffic Light Control System Using Simatic S7-20...IJERA Editor
 

Similar to Clock Gating of Streaming Applications for Power Minimization on FPGA’s (20)

Design of Low Power Sequential System Using Multi Bit FLIP-FLOP With Data Dri...
Design of Low Power Sequential System Using Multi Bit FLIP-FLOP With Data Dri...Design of Low Power Sequential System Using Multi Bit FLIP-FLOP With Data Dri...
Design of Low Power Sequential System Using Multi Bit FLIP-FLOP With Data Dri...
 
IRJET- Design and Implementation of High Speed FPGA Configuration using SBI
IRJET- Design and Implementation of High Speed FPGA Configuration using SBIIRJET- Design and Implementation of High Speed FPGA Configuration using SBI
IRJET- Design and Implementation of High Speed FPGA Configuration using SBI
 
IRJET-Power Efficient Implementation of Asynchronous Counter using Intelligen...
IRJET-Power Efficient Implementation of Asynchronous Counter using Intelligen...IRJET-Power Efficient Implementation of Asynchronous Counter using Intelligen...
IRJET-Power Efficient Implementation of Asynchronous Counter using Intelligen...
 
Design & implementation of 16 bit low power ALU with clock gating
Design & implementation of 16 bit low power ALU with clock gatingDesign & implementation of 16 bit low power ALU with clock gating
Design & implementation of 16 bit low power ALU with clock gating
 
IRJET- Fault Classification using Fuzzy for Grid Connected PV System
IRJET- Fault Classification using Fuzzy for Grid Connected PV SystemIRJET- Fault Classification using Fuzzy for Grid Connected PV System
IRJET- Fault Classification using Fuzzy for Grid Connected PV System
 
Performance Comparison of Various Clock Gating Techniques
Performance Comparison of Various Clock Gating TechniquesPerformance Comparison of Various Clock Gating Techniques
Performance Comparison of Various Clock Gating Techniques
 
Automation of Instrument Air Distribution System using Arduino and Integrate ...
Automation of Instrument Air Distribution System using Arduino and Integrate ...Automation of Instrument Air Distribution System using Arduino and Integrate ...
Automation of Instrument Air Distribution System using Arduino and Integrate ...
 
DESIGN AND ANALYSIS OF A 32-BIT PIPELINED MIPS RISC PROCESSOR
DESIGN AND ANALYSIS OF A 32-BIT PIPELINED MIPS RISC PROCESSORDESIGN AND ANALYSIS OF A 32-BIT PIPELINED MIPS RISC PROCESSOR
DESIGN AND ANALYSIS OF A 32-BIT PIPELINED MIPS RISC PROCESSOR
 
DESIGN AND ANALYSIS OF A 32-BIT PIPELINED MIPS RISC PROCESSOR
DESIGN AND ANALYSIS OF A 32-BIT PIPELINED MIPS RISC PROCESSORDESIGN AND ANALYSIS OF A 32-BIT PIPELINED MIPS RISC PROCESSOR
DESIGN AND ANALYSIS OF A 32-BIT PIPELINED MIPS RISC PROCESSOR
 
Design and Analysis of A 32-bit Pipelined MIPS Risc Processor
Design and Analysis of A 32-bit Pipelined MIPS Risc ProcessorDesign and Analysis of A 32-bit Pipelined MIPS Risc Processor
Design and Analysis of A 32-bit Pipelined MIPS Risc Processor
 
IRJET- Design and Verification of APB Protocol by using System Verilog and Un...
IRJET- Design and Verification of APB Protocol by using System Verilog and Un...IRJET- Design and Verification of APB Protocol by using System Verilog and Un...
IRJET- Design and Verification of APB Protocol by using System Verilog and Un...
 
Modernization of Electrical Substation Automation Systems Using IEC61850
Modernization of Electrical Substation Automation Systems Using IEC61850Modernization of Electrical Substation Automation Systems Using IEC61850
Modernization of Electrical Substation Automation Systems Using IEC61850
 
Implementation of CAN on FPGA for Security Evaluation Purpose
Implementation of CAN on FPGA for Security Evaluation PurposeImplementation of CAN on FPGA for Security Evaluation Purpose
Implementation of CAN on FPGA for Security Evaluation Purpose
 
Design a Low Power Flip-Flop Based on a Signal Feed-Through Scheme
Design a Low Power Flip-Flop Based on a Signal Feed-Through SchemeDesign a Low Power Flip-Flop Based on a Signal Feed-Through Scheme
Design a Low Power Flip-Flop Based on a Signal Feed-Through Scheme
 
Development of Software for Estimation of Structural Dynamic Characteristics ...
Development of Software for Estimation of Structural Dynamic Characteristics ...Development of Software for Estimation of Structural Dynamic Characteristics ...
Development of Software for Estimation of Structural Dynamic Characteristics ...
 
[IJET-V1I3P5] Authors :Dushyant Kumar Soni, Ashish Hiradhar
[IJET-V1I3P5] Authors :Dushyant Kumar Soni, Ashish Hiradhar[IJET-V1I3P5] Authors :Dushyant Kumar Soni, Ashish Hiradhar
[IJET-V1I3P5] Authors :Dushyant Kumar Soni, Ashish Hiradhar
 
Simulation and Modelling of 3-Floor Elevator System using PLC
Simulation and Modelling of 3-Floor Elevator System using PLCSimulation and Modelling of 3-Floor Elevator System using PLC
Simulation and Modelling of 3-Floor Elevator System using PLC
 
Transforming a traditional home gateway into a hardwareaccelerated SDN switch
Transforming a traditional home gateway into a hardwareaccelerated SDN switchTransforming a traditional home gateway into a hardwareaccelerated SDN switch
Transforming a traditional home gateway into a hardwareaccelerated SDN switch
 
IRJET- FPGA based Controller Design for Mobile Robots
IRJET- FPGA based Controller Design for Mobile RobotsIRJET- FPGA based Controller Design for Mobile Robots
IRJET- FPGA based Controller Design for Mobile Robots
 
Implementation of T-Junction Traffic Light Control System Using Simatic S7-20...
Implementation of T-Junction Traffic Light Control System Using Simatic S7-20...Implementation of T-Junction Traffic Light Control System Using Simatic S7-20...
Implementation of T-Junction Traffic Light Control System Using Simatic S7-20...
 

More from IRJET Journal

TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...IRJET Journal
 
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURESTUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTUREIRJET Journal
 
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...IRJET Journal
 
Effect of Camber and Angles of Attack on Airfoil Characteristics
Effect of Camber and Angles of Attack on Airfoil CharacteristicsEffect of Camber and Angles of Attack on Airfoil Characteristics
Effect of Camber and Angles of Attack on Airfoil CharacteristicsIRJET Journal
 
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...IRJET Journal
 
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...IRJET Journal
 
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...IRJET Journal
 
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...IRJET Journal
 
A REVIEW ON MACHINE LEARNING IN ADAS
A REVIEW ON MACHINE LEARNING IN ADASA REVIEW ON MACHINE LEARNING IN ADAS
A REVIEW ON MACHINE LEARNING IN ADASIRJET Journal
 
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...IRJET Journal
 
P.E.B. Framed Structure Design and Analysis Using STAAD Pro
P.E.B. Framed Structure Design and Analysis Using STAAD ProP.E.B. Framed Structure Design and Analysis Using STAAD Pro
P.E.B. Framed Structure Design and Analysis Using STAAD ProIRJET Journal
 
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...IRJET Journal
 
Survey Paper on Cloud-Based Secured Healthcare System
Survey Paper on Cloud-Based Secured Healthcare SystemSurvey Paper on Cloud-Based Secured Healthcare System
Survey Paper on Cloud-Based Secured Healthcare SystemIRJET Journal
 
Review on studies and research on widening of existing concrete bridges
Review on studies and research on widening of existing concrete bridgesReview on studies and research on widening of existing concrete bridges
Review on studies and research on widening of existing concrete bridgesIRJET Journal
 
React based fullstack edtech web application
React based fullstack edtech web applicationReact based fullstack edtech web application
React based fullstack edtech web applicationIRJET Journal
 
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...IRJET Journal
 
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.IRJET Journal
 
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...IRJET Journal
 
Multistoried and Multi Bay Steel Building Frame by using Seismic Design
Multistoried and Multi Bay Steel Building Frame by using Seismic DesignMultistoried and Multi Bay Steel Building Frame by using Seismic Design
Multistoried and Multi Bay Steel Building Frame by using Seismic DesignIRJET Journal
 
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...IRJET Journal
 

More from IRJET Journal (20)

TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
 
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURESTUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
 
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
 
Effect of Camber and Angles of Attack on Airfoil Characteristics
Effect of Camber and Angles of Attack on Airfoil CharacteristicsEffect of Camber and Angles of Attack on Airfoil Characteristics
Effect of Camber and Angles of Attack on Airfoil Characteristics
 
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
 
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
 
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
 
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
 
A REVIEW ON MACHINE LEARNING IN ADAS
A REVIEW ON MACHINE LEARNING IN ADASA REVIEW ON MACHINE LEARNING IN ADAS
A REVIEW ON MACHINE LEARNING IN ADAS
 
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
 
P.E.B. Framed Structure Design and Analysis Using STAAD Pro
P.E.B. Framed Structure Design and Analysis Using STAAD ProP.E.B. Framed Structure Design and Analysis Using STAAD Pro
P.E.B. Framed Structure Design and Analysis Using STAAD Pro
 
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
 
Survey Paper on Cloud-Based Secured Healthcare System
Survey Paper on Cloud-Based Secured Healthcare SystemSurvey Paper on Cloud-Based Secured Healthcare System
Survey Paper on Cloud-Based Secured Healthcare System
 
Review on studies and research on widening of existing concrete bridges
Review on studies and research on widening of existing concrete bridgesReview on studies and research on widening of existing concrete bridges
Review on studies and research on widening of existing concrete bridges
 
React based fullstack edtech web application
React based fullstack edtech web applicationReact based fullstack edtech web application
React based fullstack edtech web application
 
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
 
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
 
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
 
Multistoried and Multi Bay Steel Building Frame by using Seismic Design
Multistoried and Multi Bay Steel Building Frame by using Seismic DesignMultistoried and Multi Bay Steel Building Frame by using Seismic Design
Multistoried and Multi Bay Steel Building Frame by using Seismic Design
 
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
 

Recently uploaded

Software Development Life Cycle By Team Orange (Dept. of Pharmacy)
Software Development Life Cycle By  Team Orange (Dept. of Pharmacy)Software Development Life Cycle By  Team Orange (Dept. of Pharmacy)
Software Development Life Cycle By Team Orange (Dept. of Pharmacy)Suman Mia
 
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICSAPPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICSKurinjimalarL3
 
Introduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptxIntroduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptxupamatechverse
 
main PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfidmain PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfidNikhilNagaraju
 
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...ranjana rawat
 
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur High Profile
 
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...Soham Mondal
 
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur EscortsCall Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur High Profile
 
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escortsranjana rawat
 
Extrusion Processes and Their Limitations
Extrusion Processes and Their LimitationsExtrusion Processes and Their Limitations
Extrusion Processes and Their Limitations120cr0395
 
Processing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptxProcessing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptxpranjaldaimarysona
 
What are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxWhat are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxwendy cai
 
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escortsranjana rawat
 
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur High Profile
 
Call Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile serviceCall Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile servicerehmti665
 
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICS
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICSHARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICS
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICSRajkumarAkumalla
 
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...srsj9000
 

Recently uploaded (20)

Software Development Life Cycle By Team Orange (Dept. of Pharmacy)
Software Development Life Cycle By  Team Orange (Dept. of Pharmacy)Software Development Life Cycle By  Team Orange (Dept. of Pharmacy)
Software Development Life Cycle By Team Orange (Dept. of Pharmacy)
 
Exploring_Network_Security_with_JA3_by_Rakesh Seal.pptx
Exploring_Network_Security_with_JA3_by_Rakesh Seal.pptxExploring_Network_Security_with_JA3_by_Rakesh Seal.pptx
Exploring_Network_Security_with_JA3_by_Rakesh Seal.pptx
 
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICSAPPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
 
Introduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptxIntroduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptx
 
★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR
★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR
★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR
 
main PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfidmain PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfid
 
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
 
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
 
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
 
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur EscortsCall Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
 
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
 
Extrusion Processes and Their Limitations
Extrusion Processes and Their LimitationsExtrusion Processes and Their Limitations
Extrusion Processes and Their Limitations
 
Processing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptxProcessing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptx
 
What are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxWhat are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptx
 
DJARUM4D - SLOT GACOR ONLINE | SLOT DEMO ONLINE
DJARUM4D - SLOT GACOR ONLINE | SLOT DEMO ONLINEDJARUM4D - SLOT GACOR ONLINE | SLOT DEMO ONLINE
DJARUM4D - SLOT GACOR ONLINE | SLOT DEMO ONLINE
 
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
 
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
 
Call Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile serviceCall Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile service
 
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICS
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICSHARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICS
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICS
 
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
 

Clock Gating of Streaming Applications for Power Minimization on FPGA’s

  • 1. International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056 Volume: 07 Issue: 01 | Jan 2020 www.irjet.net p-ISSN: 2395-0072 © 2020, IRJET | Impact Factor value: 7.34 | ISO 9001:2008 Certified Journal | Page 259 CLOCK GATING OF STREAMING APPLICATIONS FOR POWER MINIMIZATION ON FPGA’S M. Venkata Raghudeep1, K. Anji Babu2 1Student, Dept of ECE, A.K.R.G College of Engineering and Technology, JNTU Kakinada, AP, India 2Assistant Professor, Dept of ECE, A.K.R.G College of Engineering and Technology, JNTU Kakinada, AP, India ---------------------------------------------------------------------***--------------------------------------------------------------------- Abstract - This project investigates the reduction of dynamic power for streaming applications yielded by asynchronous dataflow designs by using clock gating techniques. Streaming applications constitute a very broad class of computing algorithms in areas such as signal processing, digital media coding, cryptography, video analytics, network routing, packet processing, etc. This project introduces a set of techniques that, considering the dynamic streaming behavior of algorithms, can achieve power savings by selectively switching off parts of the circuits when they are temporarily inactive. The techniques being independent from the semantic of the application can be applied to any application and can be integrated into the synthesis stage of a high- level dataflow design flow. Experimental results of at-size applications synthesized on field-programmable gatearrays platforms demonstrate power reductions achievable with no loss in data throughput. Key Words: Clock gating, Streaming applications, Power saving, Field programmable gate arrays, Data flow. 1. INTRODUCTION In the past, the major concernsoftheVLSIdesigner were area, performance,costandreliability;powerconsiderations were mostly of only secondary importance. In recent years, however, this has begun to change and, increasingly, power is being given comparable weight to area and speed. Several factors have contributed to this trend. Perhaps the primary driving factor has been the remarkable success and growth of the class of personal computing devices (portable desktops, audio- and video-based multimedia products)and wirelesscommunicationssystemswhichdemandhigh-speed computation and complex functionality with low power consumption. 1.1 Streaming Applications Many applications in embedded systems perform the same or similar operations on regular sequences of data, which can be categorized as streaming applications. For example, on a smart-phone, one can easily find many streaming applications that are essential to thefunctionality of the system, like wireless communication, high-definition video/audio codes and 3D graphics rendering. A streaming application usually contains a set of independentprocessing stages. The structure of a H.264 video codec, which is a typical streaming application. The different stages or the codec form a pipeline that is used to encode or decode videos frames. A frame in a H.264 streamcan beanI-frame,a P-frame or a B-frame. The streaming processing structure in these applications creates lots of optimization opportunities, such as pipelined parallel execution of differentparts,andexploitingdata level parallelism in certain stages. More importantly, streaming applications are among the most performance demanding applications in embedded systems.The rapidintroductionof these applications becomes an important driving force for the development of new embedded technologies. So this thesis focuses on developing energy efficient architectures and compilation techniques for streaming applications. 1.2 Clock Gating Clock gating is a popular technique used in many synchronous circuits for reducing dynamic power dissipation. Clock gating saves power by adding more logic to a circuit to prune the clock tree. Pruningtheclock disables portions of the circuitry so that the flip-flops in them do not have to switch states. Switching states consumes power. When not being switched, the switchingpowerconsumption goes to zero, and only leakage currents are incurred. Clock gating is a methodology of turning off the clock for a particular block when it is not needed and is used by most SoC designs today as an effective technique to save dynamic power. The simplest and most common form of clock gating is when a logical “AND” function is used to selectively disable the clock to individual blocks by a control signal, as illustrated in Figure.1 During synthesis, the tools identify groups of FFs which share a common enable control signal and use them to selectively switch off the clocks to those groups of flops. Both of these clock-gating methods will eventually introduce physical gates in the clock paths which control their downstream clocks. These gates could introduce clock skew and lead to setup and hold-time violations even when mapped into the SoC. However, this is compensated for by the clock-tree synthesisandlayouttools at various stages of the SoC back-end flow.
  • 2. International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056 Volume: 07 Issue: 01 | Jan 2020 www.irjet.net p-ISSN: 2395-0072 © 2020, IRJET | Impact Factor value: 7.34 | ISO 9001:2008 Certified Journal | Page 260 Fig -1: Block Diagram of Clock Gating 2. PROPOSED SYSTEM Current FPGA families support differentCGstrategiesand each manufacturer provides its own IP for managing these different approaches. The methodology described here is based on using primitives specific to Xilinx FPGA architectures. However, this methodology can be modified to support other FPGA vendors primitives. The execution of a dataflow program consists of a sequence of action firings. These firings can be correlated to each other in a graph-based representation using an approach called executiontracegraphing(ETG).Thegraphis an acyclic directed graph where each node represents an action firing, and a directed arc represents either a data or a control dependency between two different action firings. 3. IMPLEMENTATION Algorithm: 1) Step1: Initialize en=1.when F=0,AF=0; 2) Step2: Now queue has empty space. Wait with en=1 until AF=1. If AF=1 go to next state. 3) Step3: Now CG-ckt ready to give en=0. If AF=0again go to step2. Else if AF=1, F=0 be in the same state. Else F=1, AF=1 go to next state. 4) Step4: Now queue is in full state so,disableclk input to actor by asserting en=0; 5) Step5: Wait until F=0; If AF=1, F=1 be in the same state. Else F=0, AF=1 go to next state; 6) Step6: Now queue is in almost full state en=1; 7) If F=0,AF=0 queue has empty spacethengotostep2; 8) Elseif F=1, AF=1 again goto step5. The controller is implemented as a finite state machine (FSM) having a clock; a reset; input F, for full; input AF, for almost full; and output EN, for enable. TheAFinputbecomes active high when there is only one space left on its FIFO Queue. Its FSM has five states S ={ INIT , SPACE , AFULL _ DISABLE , FULL , AFULL _ ENABLE } . The controller starts with the INIT state and maintains the EN output port at active high until F and AF become active low. The activehigh EN is maintained during the SPACE state. As a queue becomes full, the state changes to AFULL _ DISABLE .In this state, the EN output passes to an activelow. A conservative approach is taken in this state astheBUFGCE disables the output clock on the high-to-low edge. The clock enables entering the BUFGCE should be synchronized to the input clock. Once the queue becomes full, the controller maintains the EN at active low. Fig -2: State machine of the clock enabling controller. The power reduction gain of the aforse mentioned methodology is evaluated by applying it to a video decoder design. In the reader can find a variety of RVC-CAL applications for dataflow programs. One of these applications is the intra MPEG-4 simpleprofiledecoder. Due to restrictions on the number of clock buffers in Xilinx FPGAs, the design selected was re factored to result in 32 actors. The intra MPEG-4 SP description contains 32 actors and it is 4:2:0 decoder which is separated into eight processing blocks. Fig -3: streaming application element (De-blocking filter) with clock gating.
  • 3. International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056 Volume: 07 Issue: 01 | Jan 2020 www.irjet.net p-ISSN: 2395-0072 © 2020, IRJET | Impact Factor value: 7.34 | ISO 9001:2008 Certified Journal | Page 261 4. RESULTS: Table -1: comparison table of proposed and extension method PROJECT SLICE LUTS SLICE REGISTERS LUT- FFS DELAY PROPOSED 368 171 97 8.775ns EXTENSION 268 155 81 8.775ns 4. CONCLUSION This project presents a CG methodology applied to dataflow designs that can be automatically included in the synthesis stage of an HLS design flow. The application of the power saving technique is independent from the schematic of application and does not need any additional step or effort during the “design” of the application at the dataflow program level. The CG logic is generated during the synthesis stage together with the synthesis of the computational kernels connected via FIFO queues constituting the dataflow network. Conceivably, these techniques could be extended to other dataflow methods of computation. Experimental results are very encouraging: savings in power dissipation achieved with a slight increase in control logic without any reduction in throughput have been achieved. Unsurprisingly, CG is attractive in situations where the design isnotusedtoitsfull capacity. In these circumstances CG is a simple, automatic, and effective way to recover power otherwise lost in “idle” cycles. As a result, this techniqueisparticularlyinterestingin applications with dynamically varying performance requirements, when designing to a particular performance point is impossible, and when power consumption is deemed costly. Further investigations into CG should consider more aggressive control logic, whereby control is given to each individual actor, allowing greater flexibility to actor inactivity. Furthermore, it will be necessary to develop tools that partition complex applications onto the limited number of clock domains for more efficient implementations. Lastly, additional considerations could be given to controlling clock speed and, possibly, voltage transitions. REFERENCES [1] M. Pedram, “Power minimization in IC design:Principles and applications,” ACM Trans. Design Autom. Electron. Syst. , vol. 1, no. 1, pp. 3–56, Jan. 1996. [Online]. Available: http://doi. acm . org/10 . 1145/225871 . 225877 [2] Q. Wu, M. Pedram and X. Wu, “Clock-gating and its application to low power design of sequential circuits,” IEEE Trans. Circuits Syst. I, Fundam. Theory Appl. , vol. 47, no. 3, pp. 415–420, Mar. 2000. [3] G. E. Tellez, A. Farrahi, and M. Sarrafzadeh, “Activity- driven clock design for low power circuits,” in IEEE/ACM Int. Conf. Comput.-Aided Design Dig. Tech. Papers (ICCAD) San Jose, CA, USA, Nov. 1995, pp. 62–65. [4] E. A. Lee and A. Sangiovanni-Vincentelli, “Comparing models of computation,” in Proc. IEEE/ACM Int. Conf. Comput.-Aided Design , Austin, TX, USA, 1997, pp. 234–241. [5] G. Kahn, “The semantics of simple language for parallel programming,” in Proc. IFIP Congr. , Stockholm, Sweden, 1974, pp. 471–475. [6] E. A. Lee and D. G. Messerschmitt, “Static scheduling of synchronous data flow programs for digital signal processing,” IEEE Trans. Comput. , vol. 36, no. 1, pp. 24–35, Jan. 1987. [7] E. A. Lee and T. M. Parks, “Dataflow process networks,” Proc. IEEE, vol. 83, no. 5, pp. 773–801, May 1995. [8] S. Suhaib, D. Mathaikutty, and S. Shukla, “Dataflow architectures for GALS,” Electron. Notes Theory. Comput. Sci. , vol. 200, no. 1, pp. 33–50, 2008. [9] T.-Y. Wuu and S. B. K. Vrudhula, “Synthesis of asynchronous systems from data flow specification,”Inf.Sci. Inst., Univ. Southern California, Los Angeles, CA, USA, Tech. Rep. ISI/RR-93-366, Dec. 1993.