SlideShare a Scribd company logo
1 of 6
Download to read offline
IOSR Journal of VLSI and Signal Processing (IOSR-JVSP)
Volume 5, Issue 1, Ver. II (Jan - Feb. 2015), PP 15-20
e-ISSN: 2319 – 4200, p-ISSN No. : 2319 – 4197
www.iosrjournals.org
DOI: 10.9790/4200-05121520 www.iosrjournals.org 15 | Page
Performance Comparison of Various Clock Gating Techniques
S.V.Lakshmi 1
, P.S.Vishnu Priya 2
, Mrs.S.Prema 3
,
1,2
Pg Student , VLSI Design , KPR Institute Of Engineering And Technology
3
Assistant Professor, Department of Electronics and Communication,
KPR Institute Of Engineering And Technology
Abstract: Clock signal have been a great source of power dissipation in synchronous circuits because of high
frequency and load. So , by using clock gating one can save power by reducing unnecessary switching activity
inside the gated module. Here four gating methods are discussed and their power dissipation is compared. The
most popular is synthesis-based, deriving clock enabling signals based on the logic of the underlying system. It
unfortunately leaves the majority of the clock pulses driving the flip flops (FFs) redundant. A data driven
method stops most of those and yields higher power savings, but its implementation is complex and application
dependent. A third method called auto gated FFs (AGFF) is simple but yields relatively small power savings.
Another novel method called Look Ahead Clock Gating (LACG) is presented, which combines all the three.It
avoids the tight timing constraints of AGFF and data driven by allotting a full clock cycle for the computation of
the enabling signals and their propagation.
Keywords: AGFF,LACG, Flip Flops, Clock Gating
I. Introduction
The sequential circuits in a system are considered major contributors to the power dissipation since one
input of sequential circuits is the clock, which is the only signal that switches all the time. In addition, the clock
signal tends to be highly loaded. To distribute the clock and control the clock skew, one needs to construct a
clock network (often a clock tree) with clock buffers. All of this adds to the capacitance of the clock net. Recent
studies indicate that the clock signals in digital computers consume a large (15–45%) percentage of the system
power . Thus, the circuit power can be greatly reduced by reducing the clock power dissipation.
Most efforts for clock power reduction have focused on issues such as reduced voltage swings, buffer
insertion, and clock routing . In many cases, switching of the clock causes a great deal of unnecessary gate
activity. For that reason, circuits are being developed with controllable clocks. This means that from the master
clock other clocks are derived which, based on certain conditions, can be slowed down or stopped completely
with respect to the master clock. Obviously, this scheme results in power savings due to the following factors.
i. The load on the master clock is reduced and the number of required buffers in the clock tree is decreased.
Therefore, the power dissipation of clock tree can be reduced.
ii. The flip flop receiving the derived clock is not triggered in idle cycles and the corresponding dynamic
power dissipation is thus saved.
iii. The excitation function of the flip flop triggered by the derived clock may be simplified since it has a do not
care condition in the cycle when the flip flop is not triggered by the derived clock.
1.1.Clock Gating
Clock gating is a well known technique to reduce clock power. Because individual circuit usage varies
within and across applications, not all the circuits are used all the time, giving rise to power reduction
opportunity. By ANDing the clock with a gate control signal, clock gating essentially disables the clock to a
circuit whenever the circuit is not used, avoiding power dissipation due to unnecessary charging and discharging
of the unused circuits.
Clock gating works by taking the enable conditions attached to registers, and uses them to gate the
clocks. Therefore it is imperative that a design must contain these enable conditions in order to use and benefit
from clock gating. This clock gating process can also save significant die area as well as power, since it removes
large numbers of muxes and replaces them with clock gating logic. This clock gating logic is generally in the
form of "Integrated clock gating" (ICG) cells. However, note that the clock gating logic will change the clock
tree structure, since the clock gating logic will sit in the clock tree.
Clock gating logic can be added into a design in a variety of ways:
i. Coded into the RTL code as enable conditions that can be automatically translated into clock gating logic
by synthesis tools (fine grain clock gating).
Performance Comparison of Various Clock Gating Techniques
DOI: 10.9790/4200-05121520 www.iosrjournals.org 16 | Page
ii. Inserted into the design manually by the RTL designers (typically as module level clock gating) by
instantiating library specific ICG (Integrated Clock Gating) cells to gate the clocks of specific modules or
registers.
II. Clock Gating Techniques
2.1.Synthesis Based
Synthesis based clock gating is the most widely used method by EDA tools. The utilization of the clock
pulses, measured by data to clock toggling ratio, left after the employment of synthesis based gating may still be
very low. Clock enabling signals are very well understood at the system level and thus can effectively be
defined and capture the periods where functional blocks and modules do not need to be clocked. Those are later
being automatically synthesized into clock enabling signals at the gate level. In many cases, clock enabling
signals are manually added for every FF as a part of a design methodology. Still, when modules at a high and
gate level are clocked, the state transitions of their underlying FFs depend on the data being processed. It is
important to note that the entire dynamic power consumed by a system stems from the periods where modules‟
clock signals are enabled.
2.1.1.Drawback of Synthesis Based Method
The switching of a significant portion of the system‟s clock load is redundant, but consumes most of its
power. This calls for other than synthesis based methods to stop the 97% redundant clock pulses. A very low
data to clock toggling ratio was also reported in , where extensive power simulations of a wide variety of
industrial designs showed average toggling ratios of 0.02 to 0.05. To address the above redundancy, a method
called data driven clock gating was proposed for flip flops .
2.2 Data Driven Clock Gating
The data driven gating proposed is illustrated in Figure.1. A FF finds out that its clock can be disabled
in the next cycle by XORing its output with the present data input that will appear at its output in the next cycle.
The outputs of k XOR gates are ORed to generate a joint gating signal for k FFs, which is then latched to avoid
glitches. The combination of a latch with AND gate is commonly used by commercial tools and is called
integrated clock gate .Such data driven gating is used for a digital filter in an ultra low power design . A single
ICG is amortized over k FFs. There is a clear tradeoff between the number of saved (disabled) clock pulses and
the hardware overhead. With an increase in k, the hardware overhead decreases but so does the probability of
disabling, obtained by ORing the k enable signals. Let the average toggling probability of a FF (also called
activity factor) be denoted by p (0 < p < 1). Under the worst case assumption of independent FF toggling, and
assuming a uniform physical clock tree structure, and the number k of jointly gated FFs for which the power
savings are maximized is the solution of
(1 −P)k ln(1 −P)(cFF + cW) +clatch/k2 = 0 (2.1)
where cFF is the FF‟s clock input capacitance, cW is the unit size wire capacitance, and clatch is the latch
capacitance including the wire capacitance of its clk input.
Figure 1: Practical data-driven clock gating
Performance Comparison of Various Clock Gating Techniques
DOI: 10.9790/4200-05121520 www.iosrjournals.org 17 | Page
2.2.1 Drawback of Data Driven Method
Data driven gating suffers from a very short time window where the gating circuitry can properly
work.. The cumulative delay of the XOR, OR, latch and the AND gater must not exceed the setup time of the
FF. Such constraints may exclude 5%-10% of the FFs from being gated due to their presence on timing critical
paths.The exclusion percentage increases with the increase of critical paths, a situation occurring by downsizing
or turning transistors of non critical path to high threshold voltage (HVT) for further power savings.
Another difficulty of data driven gating is its design methodology. To maximize the power savings, the
FFs should be grouped such that their toggling is highly correlated. This requires running extensive simulations
characterizing the typical applications expected by the end user. Those applications are in many cases unknown
and the amount of redundant clock pulses may significantly increase for specific applications. Further more, IP
providers who are delivering RTL code need to cast the gating circuitry per customer, which requires
maintaining different versions of the same IP.
2.3 Autogated Flip flops
The basic circuit used for LACG technique is Auto Gated Flip flop illustrated in Figure.2.The FF‟s
master latch becomes transparent on the falling edge of the clock, where its output must stabilize no later than a
setup time prior to the arrival of the clock‟s rising edge, when the master latch becomes opaque and the XOR
gate indicates whether or not the slave latch should change its state. If it does not, its clock pulse is stopped and
otherwise it is passed. A significant power reduction was reported for register based small circuits, such as
counters, where the input of each FF depends on the output of its predecessor in the register. AGFF can also be
used for general logic.
Figure 2: An autogated flip flop
2.3.1 Drawback of Autogated Flip flops
There are two major drawbacks. Firstly, only the slave latches are gated, leaving half of the clock load
not gated. Secondly, serious timing constraints are imposed on those FFs residing on critical paths, which avoid
their gating.
2.4. Look Ahead Clock Gating(LACG)
Look Ahead Clock Gating computes the clock enabling signals of each FF one cycle ahead of time,
based on the present cycle data of those FFs on which it depends. Similarly to data-driven gating, it is capable of
stop-ping the majority of redundant clock pulses. It has however a big advantage of avoiding the tight timing
constraints of AGFF and data driven, by allotting a full clock cycle for the enabling signals to be computed and
propagate to their gaters. Further more, unlike data driven gating whose optimization requires the knowledge of
FF‟s data toggling vectors, LACG is independent of those. The embedding of LACG logic in the RTL
functional code is uniquely defined and easily derived from the underlying logic, independently of the target
application. This simplification is advantageous as it significantly simplifies the gating implementation.
The basic circuit used for LACG is Auto Gated Flip Flop illustrated in Figure.2.LACG takes AGFF a
leap forward, addressing three goals; stopping the clock pulse also in the master latch, making it applicable for
large and general designs and avoiding the tight timing constraints. LACG is based on using the XOR output in
Figure 2 to generate clock enabling signals of other FFs in the system, whose data depend on that FF. There is a
problem though. The XOR output is valid only during a narrow window of (tsetup , tccq) around the clock rising
edge, where tsetup and tccq are the FF‟s setup time and clock to output contamination delay, respectively. After a
tccq delay the XOR output is corrupted and turns eventually to zero. To be valid during the entire positive half
cycle it must be latched as shown in Figure. 3(a). Figure. 3(b) is the symbol of the enhanced AGFF with the
XOR output. The power consumed by the new latch can be reduced by gating its clock input clk_g.Such gating
involves another XOR and OR gates, useful for high clock switching probability. It is subsequently shown that
clk_g probability is very low and it is therefore not further being gated.
Performance Comparison of Various Clock Gating Techniques
DOI: 10.9790/4200-05121520 www.iosrjournals.org 18 | Page
Figure 3: Enhanced AGFF with XOR output used for LACG.
Figure 4 illustrates how LACG works. We call FF‟‟
target and FF‟
source. A target FF depends on K >
1 source FFs. It is required that the logic driving a target FF does not have an input externally of the block. Let
X(Dꞌꞌ
) denote the set of the XOR outputs of the source FFs, and denote by Q(Dꞌꞌ
) the set of their corresponding
outputs.
Figure 4: LACG of general logic
The source FFs can be found by a traversal of the logic paths from Dꞌꞌ
back to Q(Dꞌꞌ
), which can be
performed either in the RTL or the net-list descriptions of the underlying system. The logic tree with root Dꞌꞌ
and
leaves Q(Dꞌꞌ
) is sometimes called the logic cone of Dꞌꞌ.
Let „t‟ and „t+1‟ be two successive clock cycles shown in Figure.4.3,where the time tics refer to the
rising edge of the clock pulses. We use the notation t-0.5 and t+0.5 to denote the clock‟s preceding and
succeeding falling edges, respectively. Clearly ∑X(Dꞌꞌ) X(t)=0 ,is a sufficient condition for FF„‟
not to change state
at „t+1‟ , where the summation means logical OR operation. FF‟s clock pulse could therefore be disabled at
„t+1‟ to save the switching power.
To generate the enabling signal obtained from data at „t‟ and ensure its validity at „t+1‟, an oppositely clocked
FF is introduced as shown in Figure. 4. Upon the clock‟s falling edge at t + 0.5 there exists
Dꞌꞌꞌ
(t+0.5)=∑X(D
ꞌꞌ
)X(t). Since FFꞌꞌꞌ
is oppositely clocked, there exists Qꞌꞌꞌ
(t+0.5)=Dꞌꞌꞌ
(t+0.5)=∑X(D
ꞌꞌ
)X(t). The signal
Qꞌꞌꞌ
is stable during the time period [t+0.5 ,t+1] obtaining Qꞌꞌꞌ
(t+1)= ∑X(D
ꞌꞌ
)X(t).The gater Aext can then
appropriately gate the clock‟s rising edge at „t+1‟ which drives FFꞌꞌ
.Using a FF for gating is a considerable
overhead that will consume power of its own. This can significantly be reduced by gating FFꞌꞌꞌ
as shown in
Figure. 4
2.4.1.Advantage of LACG
Look ahead clock gating has been shown to be very useful in reducing the clock switching power.
Similar to data driven gating, it is capable of stopping the majority of redundant clock pulses. It has however a
big advantage of avoiding the tight timing constraints of AGFF and data driven, by allotting a full clock cycle
for the enabling signals to be computed and propagate to their gaters.
Furthermore, unlike data driven gating whose optimization requires the knowledge of FFs‟ data
toggling vectors, LACG is independent of those. The embedding of LACG logic in the RTL functional code is
Performance Comparison of Various Clock Gating Techniques
DOI: 10.9790/4200-05121520 www.iosrjournals.org 19 | Page
uniquely defined and easily derived from the underlying logic, independently of the target application. This
simplification is advantageous as it significantly simplifies the gating implementation
III. Simulation Results
The following results are obtained by carrying out the simulation using the EDA tool Tanner.
Figure 5: Output Waveform of Data Driven Clock Gating
Figure 6 : Output Waveform with out clock gating logic
Figure 7 : Output Waveform of Autogated Flip flops
Performance Comparison of Various Clock Gating Techniques
DOI: 10.9790/4200-05121520 www.iosrjournals.org 20 | Page
Figure 8: Output Waveform of Look Ahead Clock Gating
S.NO CLOCK GATING TECHNIQUE AVERAGE POWER
CONSUMED (watts)
1 With out clock gating 5.3327e-008
2 Data driven method 4.1776e-008
3 Autogated flip flops 2.4605e-008
4 Look ahead clock gating 1.7539e-008
Table 1: Comparison of power dissipation in clock gating techniques
Hence from the above table it is observed that the Look Ahead Clock Gating dissipates less power compared to
other techniques.
IV. Conclusion
The Look ahead clock gating has been shown to be very useful in reducing the clock switching power.
Similar to data driven gating, it is capable of stopping the majority of redundant clock pulses. It has however a
big advantage of avoiding the tight timing constraints of AGFF and data driven, by allotting a full clock cycle
for the enabling signals to be computed and propagate to their gaters. Furthermore, unlike data driven gating
whose optimization requires the knowledge of FF‟s data toggling vectors, LACG is independent of those and
also it is independent of the target application. The power in LACG has been reduced to 50% than the autogated
FF which consumes 50% less power than the data driven method.
References
[1]. Shmuel wimer and arye albhari,”A look ahead clock gating based on autogated flip flop”,IEEE , vol.61,no.5, may 2014.
[2]. Jagrit kathuria ,M.Ayoubkhan and Arti noor ,”A review of clock gating techniques”MIT international journal of electronics and
communication engineering,vol 1 no 2, aug 2011.
[3]. W.Shen,Y.Cai,X.HongandJ.Hu,”Activity register placement aware gated clock network design”, in proc,ISPD 2008.
[4]. S.Wimer and I.Koren,”The optimal fanout of clock network for power minimisation by adaptive gating”,IEEE trans.VLSI syst.,vol
20 no.10,oct 2012.
[5]. M.S.Hosny and W. Yuejian, “Low power clocking strategies in deep submicron technologies,” in Proc. IEEE Int. Conf. Integr.
Circuit Design Technol., ICICDT pp. 143–146 , 2008.

More Related Content

What's hot

Clock Tree Timing 101
Clock Tree Timing 101Clock Tree Timing 101
Clock Tree Timing 101Silicon Labs
 
wireless charging of an electrical vechicle 2
wireless charging of an electrical vechicle 2wireless charging of an electrical vechicle 2
wireless charging of an electrical vechicle 2hari prasad
 
RT15 Berkeley | Optimized Power Flow Control in Microgrids - Sandia Laboratory
RT15 Berkeley | Optimized Power Flow Control in Microgrids - Sandia LaboratoryRT15 Berkeley | Optimized Power Flow Control in Microgrids - Sandia Laboratory
RT15 Berkeley | Optimized Power Flow Control in Microgrids - Sandia LaboratoryOPAL-RT TECHNOLOGIES
 
2017 Atlanta Regional User Seminar - Real-Time Volt/Var Optimization Scheme f...
2017 Atlanta Regional User Seminar - Real-Time Volt/Var Optimization Scheme f...2017 Atlanta Regional User Seminar - Real-Time Volt/Var Optimization Scheme f...
2017 Atlanta Regional User Seminar - Real-Time Volt/Var Optimization Scheme f...OPAL-RT TECHNOLOGIES
 
2017 Atlanta Regional User Seminar - Using OPAL-RT Real-Time Simulation and H...
2017 Atlanta Regional User Seminar - Using OPAL-RT Real-Time Simulation and H...2017 Atlanta Regional User Seminar - Using OPAL-RT Real-Time Simulation and H...
2017 Atlanta Regional User Seminar - Using OPAL-RT Real-Time Simulation and H...OPAL-RT TECHNOLOGIES
 
wireless charging of an electrical vechicle
wireless charging of an electrical vechiclewireless charging of an electrical vechicle
wireless charging of an electrical vechiclehari prasad
 
RT15 Berkeley | Introduction to FPGA Power Electronic & Electric Machine real...
RT15 Berkeley | Introduction to FPGA Power Electronic & Electric Machine real...RT15 Berkeley | Introduction to FPGA Power Electronic & Electric Machine real...
RT15 Berkeley | Introduction to FPGA Power Electronic & Electric Machine real...OPAL-RT TECHNOLOGIES
 
2017 Atlanta Regional User Seminar - Virtualizing Industrial Control Systems ...
2017 Atlanta Regional User Seminar - Virtualizing Industrial Control Systems ...2017 Atlanta Regional User Seminar - Virtualizing Industrial Control Systems ...
2017 Atlanta Regional User Seminar - Virtualizing Industrial Control Systems ...OPAL-RT TECHNOLOGIES
 
Rapid Control Prototyping Solutions
Rapid Control Prototyping SolutionsRapid Control Prototyping Solutions
Rapid Control Prototyping SolutionsOPAL-RT TECHNOLOGIES
 
IRJET- Review on Performance of OTA Structure
IRJET- Review on Performance of OTA StructureIRJET- Review on Performance of OTA Structure
IRJET- Review on Performance of OTA StructureIRJET Journal
 
Optical Burst Switching
Optical Burst SwitchingOptical Burst Switching
Optical Burst SwitchingJYoTHiSH o.s
 
wireless charging of an electrical vechicle 4
wireless charging of an electrical vechicle 4wireless charging of an electrical vechicle 4
wireless charging of an electrical vechicle 4hari prasad
 
Low power electronic design
Low power electronic designLow power electronic design
Low power electronic designMahesh Dananjaya
 
RT15 Berkeley | Enel Distribuzione activities and Real-Time simulations exper...
RT15 Berkeley | Enel Distribuzione activities and Real-Time simulations exper...RT15 Berkeley | Enel Distribuzione activities and Real-Time simulations exper...
RT15 Berkeley | Enel Distribuzione activities and Real-Time simulations exper...OPAL-RT TECHNOLOGIES
 
Webinar | HIL-based Wide-area Monitoring, Protection and Control R&D and Testing
Webinar | HIL-based Wide-area Monitoring, Protection and Control R&D and TestingWebinar | HIL-based Wide-area Monitoring, Protection and Control R&D and Testing
Webinar | HIL-based Wide-area Monitoring, Protection and Control R&D and TestingOPAL-RT TECHNOLOGIES
 
OPAL-RT RT14: Power Hardware-In-the-Loop (PHIL) with EtherCAT Protocol
OPAL-RT RT14:  Power Hardware-In-the-Loop (PHIL) with EtherCAT ProtocolOPAL-RT RT14:  Power Hardware-In-the-Loop (PHIL) with EtherCAT Protocol
OPAL-RT RT14: Power Hardware-In-the-Loop (PHIL) with EtherCAT ProtocolOPAL-RT TECHNOLOGIES
 
Dish position control using Geared Motor
Dish position control using Geared MotorDish position control using Geared Motor
Dish position control using Geared MotorLaxmikanth Kolukula
 
IRJET- A Novel Design of Flip Flop and its Application in Up Counter
IRJET-  	  A Novel Design of Flip Flop and its Application in Up CounterIRJET-  	  A Novel Design of Flip Flop and its Application in Up Counter
IRJET- A Novel Design of Flip Flop and its Application in Up CounterIRJET Journal
 
Smart metering and conditional access control of electrical energy
Smart metering and conditional access control of electrical energySmart metering and conditional access control of electrical energy
Smart metering and conditional access control of electrical energykannansenthilkumar
 

What's hot (20)

Clock Tree Timing 101
Clock Tree Timing 101Clock Tree Timing 101
Clock Tree Timing 101
 
wireless charging of an electrical vechicle 2
wireless charging of an electrical vechicle 2wireless charging of an electrical vechicle 2
wireless charging of an electrical vechicle 2
 
RT15 Berkeley | Optimized Power Flow Control in Microgrids - Sandia Laboratory
RT15 Berkeley | Optimized Power Flow Control in Microgrids - Sandia LaboratoryRT15 Berkeley | Optimized Power Flow Control in Microgrids - Sandia Laboratory
RT15 Berkeley | Optimized Power Flow Control in Microgrids - Sandia Laboratory
 
2017 Atlanta Regional User Seminar - Real-Time Volt/Var Optimization Scheme f...
2017 Atlanta Regional User Seminar - Real-Time Volt/Var Optimization Scheme f...2017 Atlanta Regional User Seminar - Real-Time Volt/Var Optimization Scheme f...
2017 Atlanta Regional User Seminar - Real-Time Volt/Var Optimization Scheme f...
 
2017 Atlanta Regional User Seminar - Using OPAL-RT Real-Time Simulation and H...
2017 Atlanta Regional User Seminar - Using OPAL-RT Real-Time Simulation and H...2017 Atlanta Regional User Seminar - Using OPAL-RT Real-Time Simulation and H...
2017 Atlanta Regional User Seminar - Using OPAL-RT Real-Time Simulation and H...
 
wireless charging of an electrical vechicle
wireless charging of an electrical vechiclewireless charging of an electrical vechicle
wireless charging of an electrical vechicle
 
RT15 Berkeley | Introduction to FPGA Power Electronic & Electric Machine real...
RT15 Berkeley | Introduction to FPGA Power Electronic & Electric Machine real...RT15 Berkeley | Introduction to FPGA Power Electronic & Electric Machine real...
RT15 Berkeley | Introduction to FPGA Power Electronic & Electric Machine real...
 
2017 Atlanta Regional User Seminar - Virtualizing Industrial Control Systems ...
2017 Atlanta Regional User Seminar - Virtualizing Industrial Control Systems ...2017 Atlanta Regional User Seminar - Virtualizing Industrial Control Systems ...
2017 Atlanta Regional User Seminar - Virtualizing Industrial Control Systems ...
 
Rapid Control Prototyping Solutions
Rapid Control Prototyping SolutionsRapid Control Prototyping Solutions
Rapid Control Prototyping Solutions
 
IRJET- Review on Performance of OTA Structure
IRJET- Review on Performance of OTA StructureIRJET- Review on Performance of OTA Structure
IRJET- Review on Performance of OTA Structure
 
Optical Burst Switching
Optical Burst SwitchingOptical Burst Switching
Optical Burst Switching
 
wireless charging of an electrical vechicle 4
wireless charging of an electrical vechicle 4wireless charging of an electrical vechicle 4
wireless charging of an electrical vechicle 4
 
Optical Switching
Optical SwitchingOptical Switching
Optical Switching
 
Low power electronic design
Low power electronic designLow power electronic design
Low power electronic design
 
RT15 Berkeley | Enel Distribuzione activities and Real-Time simulations exper...
RT15 Berkeley | Enel Distribuzione activities and Real-Time simulations exper...RT15 Berkeley | Enel Distribuzione activities and Real-Time simulations exper...
RT15 Berkeley | Enel Distribuzione activities and Real-Time simulations exper...
 
Webinar | HIL-based Wide-area Monitoring, Protection and Control R&D and Testing
Webinar | HIL-based Wide-area Monitoring, Protection and Control R&D and TestingWebinar | HIL-based Wide-area Monitoring, Protection and Control R&D and Testing
Webinar | HIL-based Wide-area Monitoring, Protection and Control R&D and Testing
 
OPAL-RT RT14: Power Hardware-In-the-Loop (PHIL) with EtherCAT Protocol
OPAL-RT RT14:  Power Hardware-In-the-Loop (PHIL) with EtherCAT ProtocolOPAL-RT RT14:  Power Hardware-In-the-Loop (PHIL) with EtherCAT Protocol
OPAL-RT RT14: Power Hardware-In-the-Loop (PHIL) with EtherCAT Protocol
 
Dish position control using Geared Motor
Dish position control using Geared MotorDish position control using Geared Motor
Dish position control using Geared Motor
 
IRJET- A Novel Design of Flip Flop and its Application in Up Counter
IRJET-  	  A Novel Design of Flip Flop and its Application in Up CounterIRJET-  	  A Novel Design of Flip Flop and its Application in Up Counter
IRJET- A Novel Design of Flip Flop and its Application in Up Counter
 
Smart metering and conditional access control of electrical energy
Smart metering and conditional access control of electrical energySmart metering and conditional access control of electrical energy
Smart metering and conditional access control of electrical energy
 

Viewers also liked (16)

Simulador y emulador
Simulador y emuladorSimulador y emulador
Simulador y emulador
 
география почв
география почвгеография почв
география почв
 
Correo electronico
Correo electronicoCorreo electronico
Correo electronico
 
Vandplan 1.7 aarhus bugt
Vandplan 1.7 aarhus bugtVandplan 1.7 aarhus bugt
Vandplan 1.7 aarhus bugt
 
E.e 2
E.e 2E.e 2
E.e 2
 
Erin Rothwell, "Investigator’s Role for Innovative Consent Methods with Biosp...
Erin Rothwell, "Investigator’s Role for Innovative Consent Methods with Biosp...Erin Rothwell, "Investigator’s Role for Innovative Consent Methods with Biosp...
Erin Rothwell, "Investigator’s Role for Innovative Consent Methods with Biosp...
 
Exposicion tic
Exposicion ticExposicion tic
Exposicion tic
 
Tres
TresTres
Tres
 
Proyecto A.A.A.E.S.M.E
Proyecto A.A.A.E.S.M.EProyecto A.A.A.E.S.M.E
Proyecto A.A.A.E.S.M.E
 
Evaluacion para-el-curso.-sopa-de-letras (1)
Evaluacion para-el-curso.-sopa-de-letras (1)Evaluacion para-el-curso.-sopa-de-letras (1)
Evaluacion para-el-curso.-sopa-de-letras (1)
 
GPS INTERFACE IN GSM NETWORK
GPS INTERFACE IN GSM NETWORKGPS INTERFACE IN GSM NETWORK
GPS INTERFACE IN GSM NETWORK
 
Dyslexia
DyslexiaDyslexia
Dyslexia
 
Taller 3 y 4 unidad2 laura_melisa
Taller 3 y 4 unidad2 laura_melisaTaller 3 y 4 unidad2 laura_melisa
Taller 3 y 4 unidad2 laura_melisa
 
Organising a successful event
Organising a successful eventOrganising a successful event
Organising a successful event
 
MALAYSIA - The East Asia
MALAYSIA - The East AsiaMALAYSIA - The East Asia
MALAYSIA - The East Asia
 
Building Materials And Construction
Building Materials And ConstructionBuilding Materials And Construction
Building Materials And Construction
 

Similar to Performance Comparison of Various Clock Gating Techniques

IRJET- A High Performance Parallel Architecture for Linear Feedback Shift Reg...
IRJET- A High Performance Parallel Architecture for Linear Feedback Shift Reg...IRJET- A High Performance Parallel Architecture for Linear Feedback Shift Reg...
IRJET- A High Performance Parallel Architecture for Linear Feedback Shift Reg...IRJET Journal
 
Design of Low Power Sequential System Using Multi Bit FLIP-FLOP With Data Dri...
Design of Low Power Sequential System Using Multi Bit FLIP-FLOP With Data Dri...Design of Low Power Sequential System Using Multi Bit FLIP-FLOP With Data Dri...
Design of Low Power Sequential System Using Multi Bit FLIP-FLOP With Data Dri...IJERA Editor
 
Design and Analysis of Sequential Circuit for Leakage Power Reduction using S...
Design and Analysis of Sequential Circuit for Leakage Power Reduction using S...Design and Analysis of Sequential Circuit for Leakage Power Reduction using S...
Design and Analysis of Sequential Circuit for Leakage Power Reduction using S...ijsrd.com
 
Power Optimized Datapath Units of Hybrid Embedded Core Architecture Using Clo...
Power Optimized Datapath Units of Hybrid Embedded Core Architecture Using Clo...Power Optimized Datapath Units of Hybrid Embedded Core Architecture Using Clo...
Power Optimized Datapath Units of Hybrid Embedded Core Architecture Using Clo...VLSICS Design
 
An Efficient Execution of Clock Gating Technique for Logic Circuits
An Efficient Execution of Clock Gating Technique for Logic CircuitsAn Efficient Execution of Clock Gating Technique for Logic Circuits
An Efficient Execution of Clock Gating Technique for Logic CircuitsIJTET Journal
 
A Low Power Delay Buffer Using Gated Driver Tree
A Low Power Delay Buffer Using Gated Driver TreeA Low Power Delay Buffer Using Gated Driver Tree
A Low Power Delay Buffer Using Gated Driver TreeIOSR Journals
 
FPGA IMPLEMENTATION OF UNIVERSAL SHIFT REGISTER FOR ASYNCHRONOUS DATA SAMPLING
FPGA IMPLEMENTATION OF UNIVERSAL SHIFT REGISTER FOR ASYNCHRONOUS DATA SAMPLINGFPGA IMPLEMENTATION OF UNIVERSAL SHIFT REGISTER FOR ASYNCHRONOUS DATA SAMPLING
FPGA IMPLEMENTATION OF UNIVERSAL SHIFT REGISTER FOR ASYNCHRONOUS DATA SAMPLINGEditor IJMTER
 
Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift Register
Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift RegisterArea Efficient Pulsed Clock Generator Using Pulsed Latch Shift Register
Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift RegisterIJMTST Journal
 
Dynamic Power Reduction of Digital Circuits by ClockGating
Dynamic Power Reduction of Digital Circuits by ClockGatingDynamic Power Reduction of Digital Circuits by ClockGating
Dynamic Power Reduction of Digital Circuits by ClockGatingIJERA Editor
 
DESIGN AND IMPLEMENTATION OF LOW POWER ALU USING CLOCK GATING AND CARRY SELEC...
DESIGN AND IMPLEMENTATION OF LOW POWER ALU USING CLOCK GATING AND CARRY SELEC...DESIGN AND IMPLEMENTATION OF LOW POWER ALU USING CLOCK GATING AND CARRY SELEC...
DESIGN AND IMPLEMENTATION OF LOW POWER ALU USING CLOCK GATING AND CARRY SELEC...IAEME Publication
 
IRJET- Performance Analysis of Clock and Data Recovery Circuits using Multile...
IRJET- Performance Analysis of Clock and Data Recovery Circuits using Multile...IRJET- Performance Analysis of Clock and Data Recovery Circuits using Multile...
IRJET- Performance Analysis of Clock and Data Recovery Circuits using Multile...IRJET Journal
 
IRJET-Power Efficient Implementation of Asynchronous Counter using Intelligen...
IRJET-Power Efficient Implementation of Asynchronous Counter using Intelligen...IRJET-Power Efficient Implementation of Asynchronous Counter using Intelligen...
IRJET-Power Efficient Implementation of Asynchronous Counter using Intelligen...IRJET Journal
 
Clock Gating of Streaming Applications for Power Minimization on FPGA’s
 	  Clock Gating of Streaming Applications for Power Minimization on FPGA’s 	  Clock Gating of Streaming Applications for Power Minimization on FPGA’s
Clock Gating of Streaming Applications for Power Minimization on FPGA’sIRJET Journal
 
POWER EFFICIENT ALU DESIGN WITH CLOCK AND CONTROL-SIGNAL GATING TECHNIQUE
POWER EFFICIENT ALU DESIGN WITH CLOCK AND CONTROL-SIGNAL GATING TECHNIQUEPOWER EFFICIENT ALU DESIGN WITH CLOCK AND CONTROL-SIGNAL GATING TECHNIQUE
POWER EFFICIENT ALU DESIGN WITH CLOCK AND CONTROL-SIGNAL GATING TECHNIQUEAnil Yadav
 
Volume 2-issue-6-2139-2142
Volume 2-issue-6-2139-2142Volume 2-issue-6-2139-2142
Volume 2-issue-6-2139-2142Editor IJARCET
 
Volume 2-issue-6-2139-2142
Volume 2-issue-6-2139-2142Volume 2-issue-6-2139-2142
Volume 2-issue-6-2139-2142Editor IJARCET
 
IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Th...
IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Th...IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Th...
IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Th...IRJET Journal
 

Similar to Performance Comparison of Various Clock Gating Techniques (20)

IRJET- A High Performance Parallel Architecture for Linear Feedback Shift Reg...
IRJET- A High Performance Parallel Architecture for Linear Feedback Shift Reg...IRJET- A High Performance Parallel Architecture for Linear Feedback Shift Reg...
IRJET- A High Performance Parallel Architecture for Linear Feedback Shift Reg...
 
Design of Low Power Sequential System Using Multi Bit FLIP-FLOP With Data Dri...
Design of Low Power Sequential System Using Multi Bit FLIP-FLOP With Data Dri...Design of Low Power Sequential System Using Multi Bit FLIP-FLOP With Data Dri...
Design of Low Power Sequential System Using Multi Bit FLIP-FLOP With Data Dri...
 
Design and Analysis of Sequential Circuit for Leakage Power Reduction using S...
Design and Analysis of Sequential Circuit for Leakage Power Reduction using S...Design and Analysis of Sequential Circuit for Leakage Power Reduction using S...
Design and Analysis of Sequential Circuit for Leakage Power Reduction using S...
 
Power Optimized Datapath Units of Hybrid Embedded Core Architecture Using Clo...
Power Optimized Datapath Units of Hybrid Embedded Core Architecture Using Clo...Power Optimized Datapath Units of Hybrid Embedded Core Architecture Using Clo...
Power Optimized Datapath Units of Hybrid Embedded Core Architecture Using Clo...
 
An Efficient Execution of Clock Gating Technique for Logic Circuits
An Efficient Execution of Clock Gating Technique for Logic CircuitsAn Efficient Execution of Clock Gating Technique for Logic Circuits
An Efficient Execution of Clock Gating Technique for Logic Circuits
 
A Low Power Delay Buffer Using Gated Driver Tree
A Low Power Delay Buffer Using Gated Driver TreeA Low Power Delay Buffer Using Gated Driver Tree
A Low Power Delay Buffer Using Gated Driver Tree
 
FPGA IMPLEMENTATION OF UNIVERSAL SHIFT REGISTER FOR ASYNCHRONOUS DATA SAMPLING
FPGA IMPLEMENTATION OF UNIVERSAL SHIFT REGISTER FOR ASYNCHRONOUS DATA SAMPLINGFPGA IMPLEMENTATION OF UNIVERSAL SHIFT REGISTER FOR ASYNCHRONOUS DATA SAMPLING
FPGA IMPLEMENTATION OF UNIVERSAL SHIFT REGISTER FOR ASYNCHRONOUS DATA SAMPLING
 
Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift Register
Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift RegisterArea Efficient Pulsed Clock Generator Using Pulsed Latch Shift Register
Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift Register
 
Dynamic Power Reduction of Digital Circuits by ClockGating
Dynamic Power Reduction of Digital Circuits by ClockGatingDynamic Power Reduction of Digital Circuits by ClockGating
Dynamic Power Reduction of Digital Circuits by ClockGating
 
H33038041
H33038041H33038041
H33038041
 
DESIGN AND IMPLEMENTATION OF LOW POWER ALU USING CLOCK GATING AND CARRY SELEC...
DESIGN AND IMPLEMENTATION OF LOW POWER ALU USING CLOCK GATING AND CARRY SELEC...DESIGN AND IMPLEMENTATION OF LOW POWER ALU USING CLOCK GATING AND CARRY SELEC...
DESIGN AND IMPLEMENTATION OF LOW POWER ALU USING CLOCK GATING AND CARRY SELEC...
 
IRJET- Performance Analysis of Clock and Data Recovery Circuits using Multile...
IRJET- Performance Analysis of Clock and Data Recovery Circuits using Multile...IRJET- Performance Analysis of Clock and Data Recovery Circuits using Multile...
IRJET- Performance Analysis of Clock and Data Recovery Circuits using Multile...
 
IRJET-Power Efficient Implementation of Asynchronous Counter using Intelligen...
IRJET-Power Efficient Implementation of Asynchronous Counter using Intelligen...IRJET-Power Efficient Implementation of Asynchronous Counter using Intelligen...
IRJET-Power Efficient Implementation of Asynchronous Counter using Intelligen...
 
Clock Gating of Streaming Applications for Power Minimization on FPGA’s
 	  Clock Gating of Streaming Applications for Power Minimization on FPGA’s 	  Clock Gating of Streaming Applications for Power Minimization on FPGA’s
Clock Gating of Streaming Applications for Power Minimization on FPGA’s
 
POWER EFFICIENT ALU DESIGN WITH CLOCK AND CONTROL-SIGNAL GATING TECHNIQUE
POWER EFFICIENT ALU DESIGN WITH CLOCK AND CONTROL-SIGNAL GATING TECHNIQUEPOWER EFFICIENT ALU DESIGN WITH CLOCK AND CONTROL-SIGNAL GATING TECHNIQUE
POWER EFFICIENT ALU DESIGN WITH CLOCK AND CONTROL-SIGNAL GATING TECHNIQUE
 
Volume 2-issue-6-2139-2142
Volume 2-issue-6-2139-2142Volume 2-issue-6-2139-2142
Volume 2-issue-6-2139-2142
 
Volume 2-issue-6-2139-2142
Volume 2-issue-6-2139-2142Volume 2-issue-6-2139-2142
Volume 2-issue-6-2139-2142
 
G352734
G352734G352734
G352734
 
G352734
G352734G352734
G352734
 
IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Th...
IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Th...IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Th...
IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Th...
 

More from iosrjce

An Examination of Effectuation Dimension as Financing Practice of Small and M...
An Examination of Effectuation Dimension as Financing Practice of Small and M...An Examination of Effectuation Dimension as Financing Practice of Small and M...
An Examination of Effectuation Dimension as Financing Practice of Small and M...iosrjce
 
Does Goods and Services Tax (GST) Leads to Indian Economic Development?
Does Goods and Services Tax (GST) Leads to Indian Economic Development?Does Goods and Services Tax (GST) Leads to Indian Economic Development?
Does Goods and Services Tax (GST) Leads to Indian Economic Development?iosrjce
 
Childhood Factors that influence success in later life
Childhood Factors that influence success in later lifeChildhood Factors that influence success in later life
Childhood Factors that influence success in later lifeiosrjce
 
Emotional Intelligence and Work Performance Relationship: A Study on Sales Pe...
Emotional Intelligence and Work Performance Relationship: A Study on Sales Pe...Emotional Intelligence and Work Performance Relationship: A Study on Sales Pe...
Emotional Intelligence and Work Performance Relationship: A Study on Sales Pe...iosrjce
 
Customer’s Acceptance of Internet Banking in Dubai
Customer’s Acceptance of Internet Banking in DubaiCustomer’s Acceptance of Internet Banking in Dubai
Customer’s Acceptance of Internet Banking in Dubaiiosrjce
 
A Study of Employee Satisfaction relating to Job Security & Working Hours amo...
A Study of Employee Satisfaction relating to Job Security & Working Hours amo...A Study of Employee Satisfaction relating to Job Security & Working Hours amo...
A Study of Employee Satisfaction relating to Job Security & Working Hours amo...iosrjce
 
Consumer Perspectives on Brand Preference: A Choice Based Model Approach
Consumer Perspectives on Brand Preference: A Choice Based Model ApproachConsumer Perspectives on Brand Preference: A Choice Based Model Approach
Consumer Perspectives on Brand Preference: A Choice Based Model Approachiosrjce
 
Student`S Approach towards Social Network Sites
Student`S Approach towards Social Network SitesStudent`S Approach towards Social Network Sites
Student`S Approach towards Social Network Sitesiosrjce
 
Broadcast Management in Nigeria: The systems approach as an imperative
Broadcast Management in Nigeria: The systems approach as an imperativeBroadcast Management in Nigeria: The systems approach as an imperative
Broadcast Management in Nigeria: The systems approach as an imperativeiosrjce
 
A Study on Retailer’s Perception on Soya Products with Special Reference to T...
A Study on Retailer’s Perception on Soya Products with Special Reference to T...A Study on Retailer’s Perception on Soya Products with Special Reference to T...
A Study on Retailer’s Perception on Soya Products with Special Reference to T...iosrjce
 
A Study Factors Influence on Organisation Citizenship Behaviour in Corporate ...
A Study Factors Influence on Organisation Citizenship Behaviour in Corporate ...A Study Factors Influence on Organisation Citizenship Behaviour in Corporate ...
A Study Factors Influence on Organisation Citizenship Behaviour in Corporate ...iosrjce
 
Consumers’ Behaviour on Sony Xperia: A Case Study on Bangladesh
Consumers’ Behaviour on Sony Xperia: A Case Study on BangladeshConsumers’ Behaviour on Sony Xperia: A Case Study on Bangladesh
Consumers’ Behaviour on Sony Xperia: A Case Study on Bangladeshiosrjce
 
Design of a Balanced Scorecard on Nonprofit Organizations (Study on Yayasan P...
Design of a Balanced Scorecard on Nonprofit Organizations (Study on Yayasan P...Design of a Balanced Scorecard on Nonprofit Organizations (Study on Yayasan P...
Design of a Balanced Scorecard on Nonprofit Organizations (Study on Yayasan P...iosrjce
 
Public Sector Reforms and Outsourcing Services in Nigeria: An Empirical Evalu...
Public Sector Reforms and Outsourcing Services in Nigeria: An Empirical Evalu...Public Sector Reforms and Outsourcing Services in Nigeria: An Empirical Evalu...
Public Sector Reforms and Outsourcing Services in Nigeria: An Empirical Evalu...iosrjce
 
Media Innovations and its Impact on Brand awareness & Consideration
Media Innovations and its Impact on Brand awareness & ConsiderationMedia Innovations and its Impact on Brand awareness & Consideration
Media Innovations and its Impact on Brand awareness & Considerationiosrjce
 
Customer experience in supermarkets and hypermarkets – A comparative study
Customer experience in supermarkets and hypermarkets – A comparative studyCustomer experience in supermarkets and hypermarkets – A comparative study
Customer experience in supermarkets and hypermarkets – A comparative studyiosrjce
 
Social Media and Small Businesses: A Combinational Strategic Approach under t...
Social Media and Small Businesses: A Combinational Strategic Approach under t...Social Media and Small Businesses: A Combinational Strategic Approach under t...
Social Media and Small Businesses: A Combinational Strategic Approach under t...iosrjce
 
Secretarial Performance and the Gender Question (A Study of Selected Tertiary...
Secretarial Performance and the Gender Question (A Study of Selected Tertiary...Secretarial Performance and the Gender Question (A Study of Selected Tertiary...
Secretarial Performance and the Gender Question (A Study of Selected Tertiary...iosrjce
 
Implementation of Quality Management principles at Zimbabwe Open University (...
Implementation of Quality Management principles at Zimbabwe Open University (...Implementation of Quality Management principles at Zimbabwe Open University (...
Implementation of Quality Management principles at Zimbabwe Open University (...iosrjce
 
Organizational Conflicts Management In Selected Organizaions In Lagos State, ...
Organizational Conflicts Management In Selected Organizaions In Lagos State, ...Organizational Conflicts Management In Selected Organizaions In Lagos State, ...
Organizational Conflicts Management In Selected Organizaions In Lagos State, ...iosrjce
 

More from iosrjce (20)

An Examination of Effectuation Dimension as Financing Practice of Small and M...
An Examination of Effectuation Dimension as Financing Practice of Small and M...An Examination of Effectuation Dimension as Financing Practice of Small and M...
An Examination of Effectuation Dimension as Financing Practice of Small and M...
 
Does Goods and Services Tax (GST) Leads to Indian Economic Development?
Does Goods and Services Tax (GST) Leads to Indian Economic Development?Does Goods and Services Tax (GST) Leads to Indian Economic Development?
Does Goods and Services Tax (GST) Leads to Indian Economic Development?
 
Childhood Factors that influence success in later life
Childhood Factors that influence success in later lifeChildhood Factors that influence success in later life
Childhood Factors that influence success in later life
 
Emotional Intelligence and Work Performance Relationship: A Study on Sales Pe...
Emotional Intelligence and Work Performance Relationship: A Study on Sales Pe...Emotional Intelligence and Work Performance Relationship: A Study on Sales Pe...
Emotional Intelligence and Work Performance Relationship: A Study on Sales Pe...
 
Customer’s Acceptance of Internet Banking in Dubai
Customer’s Acceptance of Internet Banking in DubaiCustomer’s Acceptance of Internet Banking in Dubai
Customer’s Acceptance of Internet Banking in Dubai
 
A Study of Employee Satisfaction relating to Job Security & Working Hours amo...
A Study of Employee Satisfaction relating to Job Security & Working Hours amo...A Study of Employee Satisfaction relating to Job Security & Working Hours amo...
A Study of Employee Satisfaction relating to Job Security & Working Hours amo...
 
Consumer Perspectives on Brand Preference: A Choice Based Model Approach
Consumer Perspectives on Brand Preference: A Choice Based Model ApproachConsumer Perspectives on Brand Preference: A Choice Based Model Approach
Consumer Perspectives on Brand Preference: A Choice Based Model Approach
 
Student`S Approach towards Social Network Sites
Student`S Approach towards Social Network SitesStudent`S Approach towards Social Network Sites
Student`S Approach towards Social Network Sites
 
Broadcast Management in Nigeria: The systems approach as an imperative
Broadcast Management in Nigeria: The systems approach as an imperativeBroadcast Management in Nigeria: The systems approach as an imperative
Broadcast Management in Nigeria: The systems approach as an imperative
 
A Study on Retailer’s Perception on Soya Products with Special Reference to T...
A Study on Retailer’s Perception on Soya Products with Special Reference to T...A Study on Retailer’s Perception on Soya Products with Special Reference to T...
A Study on Retailer’s Perception on Soya Products with Special Reference to T...
 
A Study Factors Influence on Organisation Citizenship Behaviour in Corporate ...
A Study Factors Influence on Organisation Citizenship Behaviour in Corporate ...A Study Factors Influence on Organisation Citizenship Behaviour in Corporate ...
A Study Factors Influence on Organisation Citizenship Behaviour in Corporate ...
 
Consumers’ Behaviour on Sony Xperia: A Case Study on Bangladesh
Consumers’ Behaviour on Sony Xperia: A Case Study on BangladeshConsumers’ Behaviour on Sony Xperia: A Case Study on Bangladesh
Consumers’ Behaviour on Sony Xperia: A Case Study on Bangladesh
 
Design of a Balanced Scorecard on Nonprofit Organizations (Study on Yayasan P...
Design of a Balanced Scorecard on Nonprofit Organizations (Study on Yayasan P...Design of a Balanced Scorecard on Nonprofit Organizations (Study on Yayasan P...
Design of a Balanced Scorecard on Nonprofit Organizations (Study on Yayasan P...
 
Public Sector Reforms and Outsourcing Services in Nigeria: An Empirical Evalu...
Public Sector Reforms and Outsourcing Services in Nigeria: An Empirical Evalu...Public Sector Reforms and Outsourcing Services in Nigeria: An Empirical Evalu...
Public Sector Reforms and Outsourcing Services in Nigeria: An Empirical Evalu...
 
Media Innovations and its Impact on Brand awareness & Consideration
Media Innovations and its Impact on Brand awareness & ConsiderationMedia Innovations and its Impact on Brand awareness & Consideration
Media Innovations and its Impact on Brand awareness & Consideration
 
Customer experience in supermarkets and hypermarkets – A comparative study
Customer experience in supermarkets and hypermarkets – A comparative studyCustomer experience in supermarkets and hypermarkets – A comparative study
Customer experience in supermarkets and hypermarkets – A comparative study
 
Social Media and Small Businesses: A Combinational Strategic Approach under t...
Social Media and Small Businesses: A Combinational Strategic Approach under t...Social Media and Small Businesses: A Combinational Strategic Approach under t...
Social Media and Small Businesses: A Combinational Strategic Approach under t...
 
Secretarial Performance and the Gender Question (A Study of Selected Tertiary...
Secretarial Performance and the Gender Question (A Study of Selected Tertiary...Secretarial Performance and the Gender Question (A Study of Selected Tertiary...
Secretarial Performance and the Gender Question (A Study of Selected Tertiary...
 
Implementation of Quality Management principles at Zimbabwe Open University (...
Implementation of Quality Management principles at Zimbabwe Open University (...Implementation of Quality Management principles at Zimbabwe Open University (...
Implementation of Quality Management principles at Zimbabwe Open University (...
 
Organizational Conflicts Management In Selected Organizaions In Lagos State, ...
Organizational Conflicts Management In Selected Organizaions In Lagos State, ...Organizational Conflicts Management In Selected Organizaions In Lagos State, ...
Organizational Conflicts Management In Selected Organizaions In Lagos State, ...
 

Recently uploaded

All-domain Anomaly Resolution Office U.S. Department of Defense (U) Case: “Eg...
All-domain Anomaly Resolution Office U.S. Department of Defense (U) Case: “Eg...All-domain Anomaly Resolution Office U.S. Department of Defense (U) Case: “Eg...
All-domain Anomaly Resolution Office U.S. Department of Defense (U) Case: “Eg...Sérgio Sacani
 
PossibleEoarcheanRecordsoftheGeomagneticFieldPreservedintheIsuaSupracrustalBe...
PossibleEoarcheanRecordsoftheGeomagneticFieldPreservedintheIsuaSupracrustalBe...PossibleEoarcheanRecordsoftheGeomagneticFieldPreservedintheIsuaSupracrustalBe...
PossibleEoarcheanRecordsoftheGeomagneticFieldPreservedintheIsuaSupracrustalBe...Sérgio Sacani
 
Is RISC-V ready for HPC workload? Maybe?
Is RISC-V ready for HPC workload? Maybe?Is RISC-V ready for HPC workload? Maybe?
Is RISC-V ready for HPC workload? Maybe?Patrick Diehl
 
Recombination DNA Technology (Microinjection)
Recombination DNA Technology (Microinjection)Recombination DNA Technology (Microinjection)
Recombination DNA Technology (Microinjection)Jshifa
 
Physiochemical properties of nanomaterials and its nanotoxicity.pptx
Physiochemical properties of nanomaterials and its nanotoxicity.pptxPhysiochemical properties of nanomaterials and its nanotoxicity.pptx
Physiochemical properties of nanomaterials and its nanotoxicity.pptxAArockiyaNisha
 
Neurodevelopmental disorders according to the dsm 5 tr
Neurodevelopmental disorders according to the dsm 5 trNeurodevelopmental disorders according to the dsm 5 tr
Neurodevelopmental disorders according to the dsm 5 trssuser06f238
 
Work, Energy and Power for class 10 ICSE Physics
Work, Energy and Power for class 10 ICSE PhysicsWork, Energy and Power for class 10 ICSE Physics
Work, Energy and Power for class 10 ICSE Physicsvishikhakeshava1
 
SOLUBLE PATTERN RECOGNITION RECEPTORS.pptx
SOLUBLE PATTERN RECOGNITION RECEPTORS.pptxSOLUBLE PATTERN RECOGNITION RECEPTORS.pptx
SOLUBLE PATTERN RECOGNITION RECEPTORS.pptxkessiyaTpeter
 
Module 4: Mendelian Genetics and Punnett Square
Module 4:  Mendelian Genetics and Punnett SquareModule 4:  Mendelian Genetics and Punnett Square
Module 4: Mendelian Genetics and Punnett SquareIsiahStephanRadaza
 
TOPIC 8 Temperature and Heat.pdf physics
TOPIC 8 Temperature and Heat.pdf physicsTOPIC 8 Temperature and Heat.pdf physics
TOPIC 8 Temperature and Heat.pdf physicsssuserddc89b
 
GFP in rDNA Technology (Biotechnology).pptx
GFP in rDNA Technology (Biotechnology).pptxGFP in rDNA Technology (Biotechnology).pptx
GFP in rDNA Technology (Biotechnology).pptxAleenaTreesaSaji
 
Animal Communication- Auditory and Visual.pptx
Animal Communication- Auditory and Visual.pptxAnimal Communication- Auditory and Visual.pptx
Animal Communication- Auditory and Visual.pptxUmerFayaz5
 
Boyles law module in the grade 10 science
Boyles law module in the grade 10 scienceBoyles law module in the grade 10 science
Boyles law module in the grade 10 sciencefloriejanemacaya1
 
Call Us ≽ 9953322196 ≼ Call Girls In Mukherjee Nagar(Delhi) |
Call Us ≽ 9953322196 ≼ Call Girls In Mukherjee Nagar(Delhi) |Call Us ≽ 9953322196 ≼ Call Girls In Mukherjee Nagar(Delhi) |
Call Us ≽ 9953322196 ≼ Call Girls In Mukherjee Nagar(Delhi) |aasikanpl
 
STERILITY TESTING OF PHARMACEUTICALS ppt by DR.C.P.PRINCE
STERILITY TESTING OF PHARMACEUTICALS ppt by DR.C.P.PRINCESTERILITY TESTING OF PHARMACEUTICALS ppt by DR.C.P.PRINCE
STERILITY TESTING OF PHARMACEUTICALS ppt by DR.C.P.PRINCEPRINCE C P
 
Bentham & Hooker's Classification. along with the merits and demerits of the ...
Bentham & Hooker's Classification. along with the merits and demerits of the ...Bentham & Hooker's Classification. along with the merits and demerits of the ...
Bentham & Hooker's Classification. along with the merits and demerits of the ...Nistarini College, Purulia (W.B) India
 
Grafana in space: Monitoring Japan's SLIM moon lander in real time
Grafana in space: Monitoring Japan's SLIM moon lander  in real timeGrafana in space: Monitoring Japan's SLIM moon lander  in real time
Grafana in space: Monitoring Japan's SLIM moon lander in real timeSatoshi NAKAHIRA
 
Artificial Intelligence In Microbiology by Dr. Prince C P
Artificial Intelligence In Microbiology by Dr. Prince C PArtificial Intelligence In Microbiology by Dr. Prince C P
Artificial Intelligence In Microbiology by Dr. Prince C PPRINCE C P
 
Recombination DNA Technology (Nucleic Acid Hybridization )
Recombination DNA Technology (Nucleic Acid Hybridization )Recombination DNA Technology (Nucleic Acid Hybridization )
Recombination DNA Technology (Nucleic Acid Hybridization )aarthirajkumar25
 

Recently uploaded (20)

All-domain Anomaly Resolution Office U.S. Department of Defense (U) Case: “Eg...
All-domain Anomaly Resolution Office U.S. Department of Defense (U) Case: “Eg...All-domain Anomaly Resolution Office U.S. Department of Defense (U) Case: “Eg...
All-domain Anomaly Resolution Office U.S. Department of Defense (U) Case: “Eg...
 
PossibleEoarcheanRecordsoftheGeomagneticFieldPreservedintheIsuaSupracrustalBe...
PossibleEoarcheanRecordsoftheGeomagneticFieldPreservedintheIsuaSupracrustalBe...PossibleEoarcheanRecordsoftheGeomagneticFieldPreservedintheIsuaSupracrustalBe...
PossibleEoarcheanRecordsoftheGeomagneticFieldPreservedintheIsuaSupracrustalBe...
 
Is RISC-V ready for HPC workload? Maybe?
Is RISC-V ready for HPC workload? Maybe?Is RISC-V ready for HPC workload? Maybe?
Is RISC-V ready for HPC workload? Maybe?
 
Recombination DNA Technology (Microinjection)
Recombination DNA Technology (Microinjection)Recombination DNA Technology (Microinjection)
Recombination DNA Technology (Microinjection)
 
Physiochemical properties of nanomaterials and its nanotoxicity.pptx
Physiochemical properties of nanomaterials and its nanotoxicity.pptxPhysiochemical properties of nanomaterials and its nanotoxicity.pptx
Physiochemical properties of nanomaterials and its nanotoxicity.pptx
 
Neurodevelopmental disorders according to the dsm 5 tr
Neurodevelopmental disorders according to the dsm 5 trNeurodevelopmental disorders according to the dsm 5 tr
Neurodevelopmental disorders according to the dsm 5 tr
 
Work, Energy and Power for class 10 ICSE Physics
Work, Energy and Power for class 10 ICSE PhysicsWork, Energy and Power for class 10 ICSE Physics
Work, Energy and Power for class 10 ICSE Physics
 
9953056974 Young Call Girls In Mahavir enclave Indian Quality Escort service
9953056974 Young Call Girls In Mahavir enclave Indian Quality Escort service9953056974 Young Call Girls In Mahavir enclave Indian Quality Escort service
9953056974 Young Call Girls In Mahavir enclave Indian Quality Escort service
 
SOLUBLE PATTERN RECOGNITION RECEPTORS.pptx
SOLUBLE PATTERN RECOGNITION RECEPTORS.pptxSOLUBLE PATTERN RECOGNITION RECEPTORS.pptx
SOLUBLE PATTERN RECOGNITION RECEPTORS.pptx
 
Module 4: Mendelian Genetics and Punnett Square
Module 4:  Mendelian Genetics and Punnett SquareModule 4:  Mendelian Genetics and Punnett Square
Module 4: Mendelian Genetics and Punnett Square
 
TOPIC 8 Temperature and Heat.pdf physics
TOPIC 8 Temperature and Heat.pdf physicsTOPIC 8 Temperature and Heat.pdf physics
TOPIC 8 Temperature and Heat.pdf physics
 
GFP in rDNA Technology (Biotechnology).pptx
GFP in rDNA Technology (Biotechnology).pptxGFP in rDNA Technology (Biotechnology).pptx
GFP in rDNA Technology (Biotechnology).pptx
 
Animal Communication- Auditory and Visual.pptx
Animal Communication- Auditory and Visual.pptxAnimal Communication- Auditory and Visual.pptx
Animal Communication- Auditory and Visual.pptx
 
Boyles law module in the grade 10 science
Boyles law module in the grade 10 scienceBoyles law module in the grade 10 science
Boyles law module in the grade 10 science
 
Call Us ≽ 9953322196 ≼ Call Girls In Mukherjee Nagar(Delhi) |
Call Us ≽ 9953322196 ≼ Call Girls In Mukherjee Nagar(Delhi) |Call Us ≽ 9953322196 ≼ Call Girls In Mukherjee Nagar(Delhi) |
Call Us ≽ 9953322196 ≼ Call Girls In Mukherjee Nagar(Delhi) |
 
STERILITY TESTING OF PHARMACEUTICALS ppt by DR.C.P.PRINCE
STERILITY TESTING OF PHARMACEUTICALS ppt by DR.C.P.PRINCESTERILITY TESTING OF PHARMACEUTICALS ppt by DR.C.P.PRINCE
STERILITY TESTING OF PHARMACEUTICALS ppt by DR.C.P.PRINCE
 
Bentham & Hooker's Classification. along with the merits and demerits of the ...
Bentham & Hooker's Classification. along with the merits and demerits of the ...Bentham & Hooker's Classification. along with the merits and demerits of the ...
Bentham & Hooker's Classification. along with the merits and demerits of the ...
 
Grafana in space: Monitoring Japan's SLIM moon lander in real time
Grafana in space: Monitoring Japan's SLIM moon lander  in real timeGrafana in space: Monitoring Japan's SLIM moon lander  in real time
Grafana in space: Monitoring Japan's SLIM moon lander in real time
 
Artificial Intelligence In Microbiology by Dr. Prince C P
Artificial Intelligence In Microbiology by Dr. Prince C PArtificial Intelligence In Microbiology by Dr. Prince C P
Artificial Intelligence In Microbiology by Dr. Prince C P
 
Recombination DNA Technology (Nucleic Acid Hybridization )
Recombination DNA Technology (Nucleic Acid Hybridization )Recombination DNA Technology (Nucleic Acid Hybridization )
Recombination DNA Technology (Nucleic Acid Hybridization )
 

Performance Comparison of Various Clock Gating Techniques

  • 1. IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 5, Issue 1, Ver. II (Jan - Feb. 2015), PP 15-20 e-ISSN: 2319 – 4200, p-ISSN No. : 2319 – 4197 www.iosrjournals.org DOI: 10.9790/4200-05121520 www.iosrjournals.org 15 | Page Performance Comparison of Various Clock Gating Techniques S.V.Lakshmi 1 , P.S.Vishnu Priya 2 , Mrs.S.Prema 3 , 1,2 Pg Student , VLSI Design , KPR Institute Of Engineering And Technology 3 Assistant Professor, Department of Electronics and Communication, KPR Institute Of Engineering And Technology Abstract: Clock signal have been a great source of power dissipation in synchronous circuits because of high frequency and load. So , by using clock gating one can save power by reducing unnecessary switching activity inside the gated module. Here four gating methods are discussed and their power dissipation is compared. The most popular is synthesis-based, deriving clock enabling signals based on the logic of the underlying system. It unfortunately leaves the majority of the clock pulses driving the flip flops (FFs) redundant. A data driven method stops most of those and yields higher power savings, but its implementation is complex and application dependent. A third method called auto gated FFs (AGFF) is simple but yields relatively small power savings. Another novel method called Look Ahead Clock Gating (LACG) is presented, which combines all the three.It avoids the tight timing constraints of AGFF and data driven by allotting a full clock cycle for the computation of the enabling signals and their propagation. Keywords: AGFF,LACG, Flip Flops, Clock Gating I. Introduction The sequential circuits in a system are considered major contributors to the power dissipation since one input of sequential circuits is the clock, which is the only signal that switches all the time. In addition, the clock signal tends to be highly loaded. To distribute the clock and control the clock skew, one needs to construct a clock network (often a clock tree) with clock buffers. All of this adds to the capacitance of the clock net. Recent studies indicate that the clock signals in digital computers consume a large (15–45%) percentage of the system power . Thus, the circuit power can be greatly reduced by reducing the clock power dissipation. Most efforts for clock power reduction have focused on issues such as reduced voltage swings, buffer insertion, and clock routing . In many cases, switching of the clock causes a great deal of unnecessary gate activity. For that reason, circuits are being developed with controllable clocks. This means that from the master clock other clocks are derived which, based on certain conditions, can be slowed down or stopped completely with respect to the master clock. Obviously, this scheme results in power savings due to the following factors. i. The load on the master clock is reduced and the number of required buffers in the clock tree is decreased. Therefore, the power dissipation of clock tree can be reduced. ii. The flip flop receiving the derived clock is not triggered in idle cycles and the corresponding dynamic power dissipation is thus saved. iii. The excitation function of the flip flop triggered by the derived clock may be simplified since it has a do not care condition in the cycle when the flip flop is not triggered by the derived clock. 1.1.Clock Gating Clock gating is a well known technique to reduce clock power. Because individual circuit usage varies within and across applications, not all the circuits are used all the time, giving rise to power reduction opportunity. By ANDing the clock with a gate control signal, clock gating essentially disables the clock to a circuit whenever the circuit is not used, avoiding power dissipation due to unnecessary charging and discharging of the unused circuits. Clock gating works by taking the enable conditions attached to registers, and uses them to gate the clocks. Therefore it is imperative that a design must contain these enable conditions in order to use and benefit from clock gating. This clock gating process can also save significant die area as well as power, since it removes large numbers of muxes and replaces them with clock gating logic. This clock gating logic is generally in the form of "Integrated clock gating" (ICG) cells. However, note that the clock gating logic will change the clock tree structure, since the clock gating logic will sit in the clock tree. Clock gating logic can be added into a design in a variety of ways: i. Coded into the RTL code as enable conditions that can be automatically translated into clock gating logic by synthesis tools (fine grain clock gating).
  • 2. Performance Comparison of Various Clock Gating Techniques DOI: 10.9790/4200-05121520 www.iosrjournals.org 16 | Page ii. Inserted into the design manually by the RTL designers (typically as module level clock gating) by instantiating library specific ICG (Integrated Clock Gating) cells to gate the clocks of specific modules or registers. II. Clock Gating Techniques 2.1.Synthesis Based Synthesis based clock gating is the most widely used method by EDA tools. The utilization of the clock pulses, measured by data to clock toggling ratio, left after the employment of synthesis based gating may still be very low. Clock enabling signals are very well understood at the system level and thus can effectively be defined and capture the periods where functional blocks and modules do not need to be clocked. Those are later being automatically synthesized into clock enabling signals at the gate level. In many cases, clock enabling signals are manually added for every FF as a part of a design methodology. Still, when modules at a high and gate level are clocked, the state transitions of their underlying FFs depend on the data being processed. It is important to note that the entire dynamic power consumed by a system stems from the periods where modules‟ clock signals are enabled. 2.1.1.Drawback of Synthesis Based Method The switching of a significant portion of the system‟s clock load is redundant, but consumes most of its power. This calls for other than synthesis based methods to stop the 97% redundant clock pulses. A very low data to clock toggling ratio was also reported in , where extensive power simulations of a wide variety of industrial designs showed average toggling ratios of 0.02 to 0.05. To address the above redundancy, a method called data driven clock gating was proposed for flip flops . 2.2 Data Driven Clock Gating The data driven gating proposed is illustrated in Figure.1. A FF finds out that its clock can be disabled in the next cycle by XORing its output with the present data input that will appear at its output in the next cycle. The outputs of k XOR gates are ORed to generate a joint gating signal for k FFs, which is then latched to avoid glitches. The combination of a latch with AND gate is commonly used by commercial tools and is called integrated clock gate .Such data driven gating is used for a digital filter in an ultra low power design . A single ICG is amortized over k FFs. There is a clear tradeoff between the number of saved (disabled) clock pulses and the hardware overhead. With an increase in k, the hardware overhead decreases but so does the probability of disabling, obtained by ORing the k enable signals. Let the average toggling probability of a FF (also called activity factor) be denoted by p (0 < p < 1). Under the worst case assumption of independent FF toggling, and assuming a uniform physical clock tree structure, and the number k of jointly gated FFs for which the power savings are maximized is the solution of (1 −P)k ln(1 −P)(cFF + cW) +clatch/k2 = 0 (2.1) where cFF is the FF‟s clock input capacitance, cW is the unit size wire capacitance, and clatch is the latch capacitance including the wire capacitance of its clk input. Figure 1: Practical data-driven clock gating
  • 3. Performance Comparison of Various Clock Gating Techniques DOI: 10.9790/4200-05121520 www.iosrjournals.org 17 | Page 2.2.1 Drawback of Data Driven Method Data driven gating suffers from a very short time window where the gating circuitry can properly work.. The cumulative delay of the XOR, OR, latch and the AND gater must not exceed the setup time of the FF. Such constraints may exclude 5%-10% of the FFs from being gated due to their presence on timing critical paths.The exclusion percentage increases with the increase of critical paths, a situation occurring by downsizing or turning transistors of non critical path to high threshold voltage (HVT) for further power savings. Another difficulty of data driven gating is its design methodology. To maximize the power savings, the FFs should be grouped such that their toggling is highly correlated. This requires running extensive simulations characterizing the typical applications expected by the end user. Those applications are in many cases unknown and the amount of redundant clock pulses may significantly increase for specific applications. Further more, IP providers who are delivering RTL code need to cast the gating circuitry per customer, which requires maintaining different versions of the same IP. 2.3 Autogated Flip flops The basic circuit used for LACG technique is Auto Gated Flip flop illustrated in Figure.2.The FF‟s master latch becomes transparent on the falling edge of the clock, where its output must stabilize no later than a setup time prior to the arrival of the clock‟s rising edge, when the master latch becomes opaque and the XOR gate indicates whether or not the slave latch should change its state. If it does not, its clock pulse is stopped and otherwise it is passed. A significant power reduction was reported for register based small circuits, such as counters, where the input of each FF depends on the output of its predecessor in the register. AGFF can also be used for general logic. Figure 2: An autogated flip flop 2.3.1 Drawback of Autogated Flip flops There are two major drawbacks. Firstly, only the slave latches are gated, leaving half of the clock load not gated. Secondly, serious timing constraints are imposed on those FFs residing on critical paths, which avoid their gating. 2.4. Look Ahead Clock Gating(LACG) Look Ahead Clock Gating computes the clock enabling signals of each FF one cycle ahead of time, based on the present cycle data of those FFs on which it depends. Similarly to data-driven gating, it is capable of stop-ping the majority of redundant clock pulses. It has however a big advantage of avoiding the tight timing constraints of AGFF and data driven, by allotting a full clock cycle for the enabling signals to be computed and propagate to their gaters. Further more, unlike data driven gating whose optimization requires the knowledge of FF‟s data toggling vectors, LACG is independent of those. The embedding of LACG logic in the RTL functional code is uniquely defined and easily derived from the underlying logic, independently of the target application. This simplification is advantageous as it significantly simplifies the gating implementation. The basic circuit used for LACG is Auto Gated Flip Flop illustrated in Figure.2.LACG takes AGFF a leap forward, addressing three goals; stopping the clock pulse also in the master latch, making it applicable for large and general designs and avoiding the tight timing constraints. LACG is based on using the XOR output in Figure 2 to generate clock enabling signals of other FFs in the system, whose data depend on that FF. There is a problem though. The XOR output is valid only during a narrow window of (tsetup , tccq) around the clock rising edge, where tsetup and tccq are the FF‟s setup time and clock to output contamination delay, respectively. After a tccq delay the XOR output is corrupted and turns eventually to zero. To be valid during the entire positive half cycle it must be latched as shown in Figure. 3(a). Figure. 3(b) is the symbol of the enhanced AGFF with the XOR output. The power consumed by the new latch can be reduced by gating its clock input clk_g.Such gating involves another XOR and OR gates, useful for high clock switching probability. It is subsequently shown that clk_g probability is very low and it is therefore not further being gated.
  • 4. Performance Comparison of Various Clock Gating Techniques DOI: 10.9790/4200-05121520 www.iosrjournals.org 18 | Page Figure 3: Enhanced AGFF with XOR output used for LACG. Figure 4 illustrates how LACG works. We call FF‟‟ target and FF‟ source. A target FF depends on K > 1 source FFs. It is required that the logic driving a target FF does not have an input externally of the block. Let X(Dꞌꞌ ) denote the set of the XOR outputs of the source FFs, and denote by Q(Dꞌꞌ ) the set of their corresponding outputs. Figure 4: LACG of general logic The source FFs can be found by a traversal of the logic paths from Dꞌꞌ back to Q(Dꞌꞌ ), which can be performed either in the RTL or the net-list descriptions of the underlying system. The logic tree with root Dꞌꞌ and leaves Q(Dꞌꞌ ) is sometimes called the logic cone of Dꞌꞌ. Let „t‟ and „t+1‟ be two successive clock cycles shown in Figure.4.3,where the time tics refer to the rising edge of the clock pulses. We use the notation t-0.5 and t+0.5 to denote the clock‟s preceding and succeeding falling edges, respectively. Clearly ∑X(Dꞌꞌ) X(t)=0 ,is a sufficient condition for FF„‟ not to change state at „t+1‟ , where the summation means logical OR operation. FF‟s clock pulse could therefore be disabled at „t+1‟ to save the switching power. To generate the enabling signal obtained from data at „t‟ and ensure its validity at „t+1‟, an oppositely clocked FF is introduced as shown in Figure. 4. Upon the clock‟s falling edge at t + 0.5 there exists Dꞌꞌꞌ (t+0.5)=∑X(D ꞌꞌ )X(t). Since FFꞌꞌꞌ is oppositely clocked, there exists Qꞌꞌꞌ (t+0.5)=Dꞌꞌꞌ (t+0.5)=∑X(D ꞌꞌ )X(t). The signal Qꞌꞌꞌ is stable during the time period [t+0.5 ,t+1] obtaining Qꞌꞌꞌ (t+1)= ∑X(D ꞌꞌ )X(t).The gater Aext can then appropriately gate the clock‟s rising edge at „t+1‟ which drives FFꞌꞌ .Using a FF for gating is a considerable overhead that will consume power of its own. This can significantly be reduced by gating FFꞌꞌꞌ as shown in Figure. 4 2.4.1.Advantage of LACG Look ahead clock gating has been shown to be very useful in reducing the clock switching power. Similar to data driven gating, it is capable of stopping the majority of redundant clock pulses. It has however a big advantage of avoiding the tight timing constraints of AGFF and data driven, by allotting a full clock cycle for the enabling signals to be computed and propagate to their gaters. Furthermore, unlike data driven gating whose optimization requires the knowledge of FFs‟ data toggling vectors, LACG is independent of those. The embedding of LACG logic in the RTL functional code is
  • 5. Performance Comparison of Various Clock Gating Techniques DOI: 10.9790/4200-05121520 www.iosrjournals.org 19 | Page uniquely defined and easily derived from the underlying logic, independently of the target application. This simplification is advantageous as it significantly simplifies the gating implementation III. Simulation Results The following results are obtained by carrying out the simulation using the EDA tool Tanner. Figure 5: Output Waveform of Data Driven Clock Gating Figure 6 : Output Waveform with out clock gating logic Figure 7 : Output Waveform of Autogated Flip flops
  • 6. Performance Comparison of Various Clock Gating Techniques DOI: 10.9790/4200-05121520 www.iosrjournals.org 20 | Page Figure 8: Output Waveform of Look Ahead Clock Gating S.NO CLOCK GATING TECHNIQUE AVERAGE POWER CONSUMED (watts) 1 With out clock gating 5.3327e-008 2 Data driven method 4.1776e-008 3 Autogated flip flops 2.4605e-008 4 Look ahead clock gating 1.7539e-008 Table 1: Comparison of power dissipation in clock gating techniques Hence from the above table it is observed that the Look Ahead Clock Gating dissipates less power compared to other techniques. IV. Conclusion The Look ahead clock gating has been shown to be very useful in reducing the clock switching power. Similar to data driven gating, it is capable of stopping the majority of redundant clock pulses. It has however a big advantage of avoiding the tight timing constraints of AGFF and data driven, by allotting a full clock cycle for the enabling signals to be computed and propagate to their gaters. Furthermore, unlike data driven gating whose optimization requires the knowledge of FF‟s data toggling vectors, LACG is independent of those and also it is independent of the target application. The power in LACG has been reduced to 50% than the autogated FF which consumes 50% less power than the data driven method. References [1]. Shmuel wimer and arye albhari,”A look ahead clock gating based on autogated flip flop”,IEEE , vol.61,no.5, may 2014. [2]. Jagrit kathuria ,M.Ayoubkhan and Arti noor ,”A review of clock gating techniques”MIT international journal of electronics and communication engineering,vol 1 no 2, aug 2011. [3]. W.Shen,Y.Cai,X.HongandJ.Hu,”Activity register placement aware gated clock network design”, in proc,ISPD 2008. [4]. S.Wimer and I.Koren,”The optimal fanout of clock network for power minimisation by adaptive gating”,IEEE trans.VLSI syst.,vol 20 no.10,oct 2012. [5]. M.S.Hosny and W. Yuejian, “Low power clocking strategies in deep submicron technologies,” in Proc. IEEE Int. Conf. Integr. Circuit Design Technol., ICICDT pp. 143–146 , 2008.