SlideShare a Scribd company logo
1 of 4
Download to read offline
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056
Volume: 05 Issue: 06 | June 2018 www.irjet.net p-ISSN: 2395-0072
© 2018, IRJET | Impact Factor value: 7.211 | ISO 9001:2008 Certified Journal | Page 2304
POWER EFFICIENT IMPLEMENTATION OF ASYNCHRONOUS COUNTER
USING INTELLIGENT CLOCK GATING
Ujwal R1, Mahadev Shiva Bhat2, Shivakanth R3, Naga Surya S4
1.2.3.4. Student, Department of Electronics and Communication Engineering, PES University, Bangalore, India
---------------------------------------------------------------------***---------------------------------------------------------------------
Abstract – In the present field of low power design
technology, it is very essential to reduce the clock power as
more than 40% of the power dissipation of the circuit is dueto
the clock variations. Various techniques for power reduction
exist at the gate level abstraction. The most effectiveoneoutof
all is the clock gating technique. This technique uses the
enable conditions which are attached to the registers to gate
the clocks. In this paper, the power reduction of Very Large-
Scale Integration (VLSI) sequential circuits is achieved by the
technique of intelligent clock gating. Themainconceptofclock
gating is to provide clock transition to a circuit or segment
when it needs to toggle or shift a data and avoid unnecessary
clock transitions. This clock gating technique hastobeapplied
to every block in the design which would lead to more power
consumption due to the added logic instead of reducing the
power. So, this intelligent clock gating techniquewillminimize
the power consumption such that the performance of the
circuit is not hampered.
Key Words: Low power design, Very Large-Scale
Integration, Gate level, Sequential Circuits, Intelligent
clock gating, Clock transition
1.INTRODUCTION
In the earlier days, the clock gating technique has been used
exhaustively for each block of the high frequency sequential
circuits. Since the number of transistors on the chip have
been increasing exponentially, the tradeoff comes in the
form of increased area and power consumption. So, higher
level techniques such as clock gating, power gating with
sleep modes had been introduced. But applying clock gating
for every block in the circuit becomes too cumbersome and
because of increased package density, it has become a
tedious task to manually verify the power at each block. So,
this intelligent clock gating technique in the Xilinx Vivado
will perform the clock gating on the entire design and
doesn’t change the predefined logic of the overall circuit
design. This also maintains the timing constraints. This
technique can be applied to Xilinx series such as Virtex(6,7),
Spartan(6), Zynq-7000 AP SoCs. The above-mentioned
technique has been performed and verified on Zynq board.
This technique uses Chip enable to block the uninfluential
signals from advancing towards the corresponding design
segments and hence reducing the power consumed.
For some of the System on Chip designs, the paths would be
driven by multiple clock sources(one clock and gatedclock),
There may be a chance that data from the clock will reach
faster than the clock created by gated logic. This will create
the race around conditions and also leads to Timing
constraints violation. In such type of Soc designs to remove
the race conditions, the base clock has to be separated and
gating from the gated clock. Then the separated base clock
has to be routed to the clock and gating to the clock will
enable the sequential elements. While clock is OFF, every
sequential element will be disabled and when the clock is
ON, sequential elements will be enabled. [4]
Synopsys Inc. [4] explains about problemsarising insomeof
the SOC designs due to clock gating. It also explains how to
overcome the race around condition and clock skew
constraints. Gary K Yeap. [5] gives an introduction to the
concept of low power VLSI design. The concept of power
reduction using various techniques at different abstraction
levels, and also the tradeoff between area and power have
been explained. This also references the clock gating
technique for power reduction. Samir Palnitkar. [2]
introduced the basics of Verilog hardware description
language at gate level and behavioral level abstraction. J
Bhasker. [3] depicts various implementations of the design
using Verilog hardware description language. PriyaSingh et
al. [1] mentioned the technique of intelligent clock gating
and gave a brief introduction. A white Paper from Xilinx. [6]
givesa precise overview of intelligent clock gatingtechnique
and also explains the design flow of the technique. Jitesh
Shinde et al. [7] explains about the technique of power
optimization for VLSI circuits. It also explains about the
challengesencountered when implementingintelligentclock
gating technique for power reduction.
In this paper, the few above limitations faced during the
implementation and the tradeoffs encountered have been
precisely tackled with a systematic approach. Firstly, the
design flow is explained and then the need for clock gatingis
also explained. An asynchronous counter is built on Xilinx
Vivado Design Suite and the intelligent clock gating
technique is applied for this corresponding circuit. It is
further simulated on Xilinx Vivado and the power
consumption with and without intelligent clock gating are
compared and discussed.
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056
Volume: 05 Issue: 06 | June 2018 www.irjet.net p-ISSN: 2395-0072
© 2018, IRJET | Impact Factor value: 7.211 | ISO 9001:2008 Certified Journal | Page 2305
2. TECHNICAL STUDIES
2.1 Need for Clock Gating
Clock Tree is an important part of Placement and Routing
step in the Design flow. Hence the efficient usage of clock
gating, clock architecture, Implementation is good for
optimizing the power. Here the Clock-gating efficiency
becomes more important. To get the good clock-gating
efficiency we have to first maximize the effect of gating to
reduce the idle power and secondly minimize ungated part
of the clock-tree.
2.2 Design Flow
The basic design flow begins with opening a new project in
the Xilinx-Vivado tool and writing the RTL design code in
Verilog Hardware Description Language [2]. Then,theZynq-
7, spartan-6, kintex-7, virtex-6,7 boards are chosen
depending on the required application. RTL Simulation,
Synthesis and Implementations are done to get the
optimized power output.
Fig-1: Flow Chart
2.2 Asynchronous Counter
Fig-2: Circuit
Fig-3: Truth Table
This paper demonstrates power reduction implementation
on a simple asynchronous counter with reset which counts
from 0 to 7. It’s very important to apply the Clock gating
efficiently to this basic block as it is used in wide range of
applications like Timers, Alarm Clock etc. it can be used also
as a clock divider. Most of the time, the on-chip devicesofthe
processor work at low frequencies than chip frequencies,
which in turn will help in reduction of power dissipation.
2.3 Power Analysis
P = C ×V2 ×f--- expression for Power dissipation [5]
P - dynamic power dissipation
V - supply voltage
f - frequency of operation (switching activities)
Power consumption in CMOS can be modeled as switching
activities, overall capacitance and square of the supply
voltage. Supply voltage is mostly fixed becauseperformance
of the MOSFET’s get slower as we decrease the supply
voltage. Capacitances also cannot be decreased because it
will be difficult at the physical level abstraction where
material and process technology have to be reviewed. [5]
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056
Volume: 05 Issue: 06 | June 2018 www.irjet.net p-ISSN: 2395-0072
© 2018, IRJET | Impact Factor value: 7.211 | ISO 9001:2008 Certified Journal | Page 2306
Fig-4 Schematic from Vivado
Xilinx Vivado for the Zynq boards provides the option of
reducing the power at the logic level with the help of unique
technique of intelligent clock gating. This study uses the
ZYNQ-7 Board for further implementation.
2.4 Power Optimization Using Intelligent Clock
Gating on Vivado
Generally, Power Dissipation is categorized as Static and
Dynamic. FPGA consistsof billions of transistorsmodeledas
tiny capacitors. The power is consumed when it is switched
on, this consumption is called Static power dissipation. We
can modify only switching activity of the design by adding
gating logic that will turn off the unused portions in the
design. This is almost an impossible task for most of the
complex designs. Vivado performs the intelligent Clock
gating by finding sequential elementsthat do not contribute
to the clock cycle. Clock-Enables and Port-Enables are
appropriate to prevent unnecessary switching on the
Registers and Flip-flops.
Fig-5 Power Analysis with Intelligent Clock Gating
The original Functionality remains unchanged. Pre-
placement optimization will lead to maximal savings of the
power. However, it is possible that timing of the circuit
could be affected. The post placement optimization will
ensure that they will not lead to timing violations. Vivado
offersthe flexibility to optimize the entire designorportions
of the design. [7]
Fig-6 Power Analysis without Intelligent Clock Gating
Fig-7 RTL Schematic
Fig-5 shows the power analysis with intelligent clock gating
which hasdynamic power of 2.692W. Fig-6showsthepower
analysis without intelligent clock gating which has dynamic
power of 3.432W.
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056
Volume: 05 Issue: 06 | June 2018 www.irjet.net p-ISSN: 2395-0072
© 2018, IRJET | Impact Factor value: 7.211 | ISO 9001:2008 Certified Journal | Page 2307
Fig-8 Device Utilization Summary
In normal register-transfer level (RTL) coding for counter
related applications, one tries to use multiplexers, gates,
buffers wherever required which includeslots of redundant
logic. But the use of Intelligent clock Gating will introduce
the clock enable signal wherever necessary. This technique
shows the power reduction of 21.57% for the normal basic
counter (without clock gated) simulation.
2.5 Challenges
During intelligent clock gating, the area increases since it
will include the clock enable signals and their
corresponding logic. The computation of such designs may
consume a large amount of time to be evaluated and
decide the logic for enable signals. This increases the
computation time which can be overcome in the future by
precomputing and storing the gating logic.
3. CONCLUSIONS
In this paper, the drawbacks of using the manualclockgating
techniques have been tackled successfully. This is achieved
by implementing the intelligent clock gating techniqueonan
asynchronous counter resulting in a power reduction of
21.57% of the general asynchronous counter. And also, as
mentioned above, it can be applied to various other circuits
to achieve better power reduction. This amount of power
reduction is very significant in the field of Low Power VLSI
Design as it also successfully accomplishes to reduce the
manual computation time and the timing constraints of the
design.
Since the evolution of consumer electronics, the time to
market has been reducing rapidly because of many
automation techniques used by the manufacturing
industries. The technique discussed in this paper paves way
for improving the above constraint in a systematicapproach.
ACKNOWLEDGEMENT
We would like to express our sincere gratitude to the
Department of Electronics and Communication
Engineering, PES University for the guidance and support
provided.
REFERENCES
[1] Priya Singh, Ravi Goel, “Clock Gating: A Comprehensive
Power Optimization Technique for Sequential Circuits”,
Vol2, Issue 2, Ver.2- IJARCST 2014.
[2] Samir Palnitkar, “Verilog HDL - A Guide to DigitalDesign
and Synthesis” 2rd edition, 2003.
[3] J Bhasker, “Verilog HDL Synthesis A Practical Primer “
2nd edition, 1998.
[4] Synopsys Inc, “FPGA-Based Prototyping Methodology
Manual”.
[5] Gary K Yeap, “Practical Low Power VLSI Design”.
[6] Frederic Rivoallon, Jagadeesh Balasubramanian
‘‘Switching Power with Intelligent Clock Gating’’,
WP370, Xilinx, March 1, 2011.
[7] Jitesh Shinde, Dr.S.S. Salankar, “Clock Gating –A Power
Optimizing Technique for VLSI Circuits”.

More Related Content

What's hot

Clap Pattern Based Electrical Appliance control
Clap Pattern Based Electrical Appliance controlClap Pattern Based Electrical Appliance control
Clap Pattern Based Electrical Appliance controlIRJET Journal
 
Configuration of pid controller for speed control of dc motor utilizing optim...
Configuration of pid controller for speed control of dc motor utilizing optim...Configuration of pid controller for speed control of dc motor utilizing optim...
Configuration of pid controller for speed control of dc motor utilizing optim...Santosh Suman
 
Performance Based Comparison Between Various Z-N Tuninng PID And Fuzzy Logic ...
Performance Based Comparison Between Various Z-N Tuninng PID And Fuzzy Logic ...Performance Based Comparison Between Various Z-N Tuninng PID And Fuzzy Logic ...
Performance Based Comparison Between Various Z-N Tuninng PID And Fuzzy Logic ...ijsc
 
Epileptic seizure detection using modern clp and dwt rrca design
Epileptic seizure detection using modern clp and dwt rrca designEpileptic seizure detection using modern clp and dwt rrca design
Epileptic seizure detection using modern clp and dwt rrca designeSAT Journals
 
AN DYNAMIC ENERGY MANAGEMENT ON FPGA FOR WIRELESS SENSOR NETWORK
AN DYNAMIC ENERGY MANAGEMENT ON FPGA FOR WIRELESS SENSOR NETWORKAN DYNAMIC ENERGY MANAGEMENT ON FPGA FOR WIRELESS SENSOR NETWORK
AN DYNAMIC ENERGY MANAGEMENT ON FPGA FOR WIRELESS SENSOR NETWORKcsijjournal
 
IRJET- A Review: To Design Efficient 32 Bits Carry Select Adder by using ...
IRJET-  	  A Review: To Design Efficient 32 Bits Carry Select Adder by using ...IRJET-  	  A Review: To Design Efficient 32 Bits Carry Select Adder by using ...
IRJET- A Review: To Design Efficient 32 Bits Carry Select Adder by using ...IRJET Journal
 
Design and Implementation of PCB Using CNC
Design and Implementation of PCB Using CNCDesign and Implementation of PCB Using CNC
Design and Implementation of PCB Using CNCIRJET Journal
 
IRJET- Single Precision Floating Point Arithmetic using VHDL Coding
IRJET-  	  Single Precision Floating Point Arithmetic using VHDL CodingIRJET-  	  Single Precision Floating Point Arithmetic using VHDL Coding
IRJET- Single Precision Floating Point Arithmetic using VHDL CodingIRJET Journal
 
OPTIMAL PID CONTROLLER DESIGN FOR SPEED CONTROL OF A SEPARATELY EXCITED DC MO...
OPTIMAL PID CONTROLLER DESIGN FOR SPEED CONTROL OF A SEPARATELY EXCITED DC MO...OPTIMAL PID CONTROLLER DESIGN FOR SPEED CONTROL OF A SEPARATELY EXCITED DC MO...
OPTIMAL PID CONTROLLER DESIGN FOR SPEED CONTROL OF A SEPARATELY EXCITED DC MO...ijscmcjournal
 
IRJET- Analysis of 3-Phase Induction Motor with High Step-Up PWM DC-DC Conver...
IRJET- Analysis of 3-Phase Induction Motor with High Step-Up PWM DC-DC Conver...IRJET- Analysis of 3-Phase Induction Motor with High Step-Up PWM DC-DC Conver...
IRJET- Analysis of 3-Phase Induction Motor with High Step-Up PWM DC-DC Conver...IRJET Journal
 
IRJET- Optimum Design of PSO based Tuning using PID Controller for an Automat...
IRJET- Optimum Design of PSO based Tuning using PID Controller for an Automat...IRJET- Optimum Design of PSO based Tuning using PID Controller for an Automat...
IRJET- Optimum Design of PSO based Tuning using PID Controller for an Automat...IRJET Journal
 
Low-Power Design and Verification
Low-Power Design and VerificationLow-Power Design and Verification
Low-Power Design and VerificationDVClub
 
BLDC control using PID & FUZZY logic controller-CSD PPT
BLDC control using PID & FUZZY logic controller-CSD PPTBLDC control using PID & FUZZY logic controller-CSD PPT
BLDC control using PID & FUZZY logic controller-CSD PPTAmiya Ranjan Behera
 
Layout of b.tech_project_report
Layout of b.tech_project_reportLayout of b.tech_project_report
Layout of b.tech_project_reportAnurag Farkya
 
IRJET- Speed Control of Induction Motors using Proposed Closed Loop V/F Contr...
IRJET- Speed Control of Induction Motors using Proposed Closed Loop V/F Contr...IRJET- Speed Control of Induction Motors using Proposed Closed Loop V/F Contr...
IRJET- Speed Control of Induction Motors using Proposed Closed Loop V/F Contr...IRJET Journal
 
IRJET - The Implementation of Arduino based Single Axis Solar Tracking Sy...
IRJET -  	  The Implementation of Arduino based Single Axis Solar Tracking Sy...IRJET -  	  The Implementation of Arduino based Single Axis Solar Tracking Sy...
IRJET - The Implementation of Arduino based Single Axis Solar Tracking Sy...IRJET Journal
 
FPGA BASED ADAPTIVE NEURO FUZZY INFERENCE CONTROLLER FOR FULL VEHICLE NONLINE...
FPGA BASED ADAPTIVE NEURO FUZZY INFERENCE CONTROLLER FOR FULL VEHICLE NONLINE...FPGA BASED ADAPTIVE NEURO FUZZY INFERENCE CONTROLLER FOR FULL VEHICLE NONLINE...
FPGA BASED ADAPTIVE NEURO FUZZY INFERENCE CONTROLLER FOR FULL VEHICLE NONLINE...gerogepatton
 
IRJET-Comparison between Scalar & Vector Control Technique for Induction Moto...
IRJET-Comparison between Scalar & Vector Control Technique for Induction Moto...IRJET-Comparison between Scalar & Vector Control Technique for Induction Moto...
IRJET-Comparison between Scalar & Vector Control Technique for Induction Moto...IRJET Journal
 
Integrated fuzzy logic controller for a Brushless DC Servomotor system
Integrated fuzzylogic controller for a Brushless DC Servomotor systemIntegrated fuzzylogic controller for a Brushless DC Servomotor system
Integrated fuzzy logic controller for a Brushless DC Servomotor systemEhab Al hamayel
 

What's hot (20)

Clap Pattern Based Electrical Appliance control
Clap Pattern Based Electrical Appliance controlClap Pattern Based Electrical Appliance control
Clap Pattern Based Electrical Appliance control
 
Configuration of pid controller for speed control of dc motor utilizing optim...
Configuration of pid controller for speed control of dc motor utilizing optim...Configuration of pid controller for speed control of dc motor utilizing optim...
Configuration of pid controller for speed control of dc motor utilizing optim...
 
Performance Based Comparison Between Various Z-N Tuninng PID And Fuzzy Logic ...
Performance Based Comparison Between Various Z-N Tuninng PID And Fuzzy Logic ...Performance Based Comparison Between Various Z-N Tuninng PID And Fuzzy Logic ...
Performance Based Comparison Between Various Z-N Tuninng PID And Fuzzy Logic ...
 
Epileptic seizure detection using modern clp and dwt rrca design
Epileptic seizure detection using modern clp and dwt rrca designEpileptic seizure detection using modern clp and dwt rrca design
Epileptic seizure detection using modern clp and dwt rrca design
 
AN DYNAMIC ENERGY MANAGEMENT ON FPGA FOR WIRELESS SENSOR NETWORK
AN DYNAMIC ENERGY MANAGEMENT ON FPGA FOR WIRELESS SENSOR NETWORKAN DYNAMIC ENERGY MANAGEMENT ON FPGA FOR WIRELESS SENSOR NETWORK
AN DYNAMIC ENERGY MANAGEMENT ON FPGA FOR WIRELESS SENSOR NETWORK
 
IRJET- A Review: To Design Efficient 32 Bits Carry Select Adder by using ...
IRJET-  	  A Review: To Design Efficient 32 Bits Carry Select Adder by using ...IRJET-  	  A Review: To Design Efficient 32 Bits Carry Select Adder by using ...
IRJET- A Review: To Design Efficient 32 Bits Carry Select Adder by using ...
 
Design and Implementation of PCB Using CNC
Design and Implementation of PCB Using CNCDesign and Implementation of PCB Using CNC
Design and Implementation of PCB Using CNC
 
IRJET- Single Precision Floating Point Arithmetic using VHDL Coding
IRJET-  	  Single Precision Floating Point Arithmetic using VHDL CodingIRJET-  	  Single Precision Floating Point Arithmetic using VHDL Coding
IRJET- Single Precision Floating Point Arithmetic using VHDL Coding
 
OPTIMAL PID CONTROLLER DESIGN FOR SPEED CONTROL OF A SEPARATELY EXCITED DC MO...
OPTIMAL PID CONTROLLER DESIGN FOR SPEED CONTROL OF A SEPARATELY EXCITED DC MO...OPTIMAL PID CONTROLLER DESIGN FOR SPEED CONTROL OF A SEPARATELY EXCITED DC MO...
OPTIMAL PID CONTROLLER DESIGN FOR SPEED CONTROL OF A SEPARATELY EXCITED DC MO...
 
IRJET- Analysis of 3-Phase Induction Motor with High Step-Up PWM DC-DC Conver...
IRJET- Analysis of 3-Phase Induction Motor with High Step-Up PWM DC-DC Conver...IRJET- Analysis of 3-Phase Induction Motor with High Step-Up PWM DC-DC Conver...
IRJET- Analysis of 3-Phase Induction Motor with High Step-Up PWM DC-DC Conver...
 
IRJET- Optimum Design of PSO based Tuning using PID Controller for an Automat...
IRJET- Optimum Design of PSO based Tuning using PID Controller for an Automat...IRJET- Optimum Design of PSO based Tuning using PID Controller for an Automat...
IRJET- Optimum Design of PSO based Tuning using PID Controller for an Automat...
 
Gl3311371146
Gl3311371146Gl3311371146
Gl3311371146
 
Low-Power Design and Verification
Low-Power Design and VerificationLow-Power Design and Verification
Low-Power Design and Verification
 
BLDC control using PID & FUZZY logic controller-CSD PPT
BLDC control using PID & FUZZY logic controller-CSD PPTBLDC control using PID & FUZZY logic controller-CSD PPT
BLDC control using PID & FUZZY logic controller-CSD PPT
 
Layout of b.tech_project_report
Layout of b.tech_project_reportLayout of b.tech_project_report
Layout of b.tech_project_report
 
IRJET- Speed Control of Induction Motors using Proposed Closed Loop V/F Contr...
IRJET- Speed Control of Induction Motors using Proposed Closed Loop V/F Contr...IRJET- Speed Control of Induction Motors using Proposed Closed Loop V/F Contr...
IRJET- Speed Control of Induction Motors using Proposed Closed Loop V/F Contr...
 
IRJET - The Implementation of Arduino based Single Axis Solar Tracking Sy...
IRJET -  	  The Implementation of Arduino based Single Axis Solar Tracking Sy...IRJET -  	  The Implementation of Arduino based Single Axis Solar Tracking Sy...
IRJET - The Implementation of Arduino based Single Axis Solar Tracking Sy...
 
FPGA BASED ADAPTIVE NEURO FUZZY INFERENCE CONTROLLER FOR FULL VEHICLE NONLINE...
FPGA BASED ADAPTIVE NEURO FUZZY INFERENCE CONTROLLER FOR FULL VEHICLE NONLINE...FPGA BASED ADAPTIVE NEURO FUZZY INFERENCE CONTROLLER FOR FULL VEHICLE NONLINE...
FPGA BASED ADAPTIVE NEURO FUZZY INFERENCE CONTROLLER FOR FULL VEHICLE NONLINE...
 
IRJET-Comparison between Scalar & Vector Control Technique for Induction Moto...
IRJET-Comparison between Scalar & Vector Control Technique for Induction Moto...IRJET-Comparison between Scalar & Vector Control Technique for Induction Moto...
IRJET-Comparison between Scalar & Vector Control Technique for Induction Moto...
 
Integrated fuzzy logic controller for a Brushless DC Servomotor system
Integrated fuzzylogic controller for a Brushless DC Servomotor systemIntegrated fuzzylogic controller for a Brushless DC Servomotor system
Integrated fuzzy logic controller for a Brushless DC Servomotor system
 

Similar to IRJET-Power Efficient Implementation of Asynchronous Counter using Intelligent Clock Gating

Design & implementation of 16 bit low power ALU with clock gating
Design & implementation of 16 bit low power ALU with clock gatingDesign & implementation of 16 bit low power ALU with clock gating
Design & implementation of 16 bit low power ALU with clock gatingIRJET Journal
 
DESIGN AND IMPLEMENTATION OF LOW POWER ALU USING CLOCK GATING AND CARRY SELEC...
DESIGN AND IMPLEMENTATION OF LOW POWER ALU USING CLOCK GATING AND CARRY SELEC...DESIGN AND IMPLEMENTATION OF LOW POWER ALU USING CLOCK GATING AND CARRY SELEC...
DESIGN AND IMPLEMENTATION OF LOW POWER ALU USING CLOCK GATING AND CARRY SELEC...IAEME Publication
 
IRJET- A High Performance Parallel Architecture for Linear Feedback Shift Reg...
IRJET- A High Performance Parallel Architecture for Linear Feedback Shift Reg...IRJET- A High Performance Parallel Architecture for Linear Feedback Shift Reg...
IRJET- A High Performance Parallel Architecture for Linear Feedback Shift Reg...IRJET Journal
 
IRJET- Development of General Purpose Controller Board
IRJET- Development of General Purpose Controller BoardIRJET- Development of General Purpose Controller Board
IRJET- Development of General Purpose Controller BoardIRJET Journal
 
Dynamic Power Reduction of Digital Circuits by ClockGating
Dynamic Power Reduction of Digital Circuits by ClockGatingDynamic Power Reduction of Digital Circuits by ClockGating
Dynamic Power Reduction of Digital Circuits by ClockGatingIJERA Editor
 
Performance Comparison of Various Clock Gating Techniques
Performance Comparison of Various Clock Gating TechniquesPerformance Comparison of Various Clock Gating Techniques
Performance Comparison of Various Clock Gating Techniquesiosrjce
 
Low power cmos binary counter using conventional flip flops
Low power cmos binary counter using conventional flip   flopsLow power cmos binary counter using conventional flip   flops
Low power cmos binary counter using conventional flip flopsIAEME Publication
 
IRJET- Development of the Spark Gap Adjustment using PLC
IRJET- Development of the Spark Gap Adjustment using PLCIRJET- Development of the Spark Gap Adjustment using PLC
IRJET- Development of the Spark Gap Adjustment using PLCIRJET Journal
 
Power Optimized Datapath Units of Hybrid Embedded Core Architecture Using Clo...
Power Optimized Datapath Units of Hybrid Embedded Core Architecture Using Clo...Power Optimized Datapath Units of Hybrid Embedded Core Architecture Using Clo...
Power Optimized Datapath Units of Hybrid Embedded Core Architecture Using Clo...VLSICS Design
 
IRJET- Reliability Enhancement of Low-Power Sequential Circuits using Power G...
IRJET- Reliability Enhancement of Low-Power Sequential Circuits using Power G...IRJET- Reliability Enhancement of Low-Power Sequential Circuits using Power G...
IRJET- Reliability Enhancement of Low-Power Sequential Circuits using Power G...IRJET Journal
 
IRJET- Internet of Things (IoT) based Smart Grid
IRJET- Internet of Things (IoT) based Smart GridIRJET- Internet of Things (IoT) based Smart Grid
IRJET- Internet of Things (IoT) based Smart GridIRJET Journal
 
IRJET- Development of Intelligent Accelerometer and its Implementation wi...
IRJET-  	  Development of Intelligent Accelerometer and its Implementation wi...IRJET-  	  Development of Intelligent Accelerometer and its Implementation wi...
IRJET- Development of Intelligent Accelerometer and its Implementation wi...IRJET Journal
 
Design of Low Power Sequential System Using Multi Bit FLIP-FLOP With Data Dri...
Design of Low Power Sequential System Using Multi Bit FLIP-FLOP With Data Dri...Design of Low Power Sequential System Using Multi Bit FLIP-FLOP With Data Dri...
Design of Low Power Sequential System Using Multi Bit FLIP-FLOP With Data Dri...IJERA Editor
 
Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift Register
Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift RegisterArea Efficient Pulsed Clock Generator Using Pulsed Latch Shift Register
Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift RegisterIJMTST Journal
 
IRJET- Smart Battery Management
IRJET- Smart Battery ManagementIRJET- Smart Battery Management
IRJET- Smart Battery ManagementIRJET Journal
 
AUTOMATIC COIL WINDING MACHINE
AUTOMATIC COIL WINDING MACHINEAUTOMATIC COIL WINDING MACHINE
AUTOMATIC COIL WINDING MACHINEIRJET Journal
 
IRJET- PLC based Object Sorting Machine on their Height
IRJET- PLC based Object Sorting Machine on their HeightIRJET- PLC based Object Sorting Machine on their Height
IRJET- PLC based Object Sorting Machine on their HeightIRJET Journal
 
IRJET- An Efficient and Low Power Sram Testing using Clock Gating
IRJET-  	  An Efficient and Low Power Sram Testing using Clock GatingIRJET-  	  An Efficient and Low Power Sram Testing using Clock Gating
IRJET- An Efficient and Low Power Sram Testing using Clock GatingIRJET Journal
 
IRJET- AC Motor Fault Analyser by Characteristic Analysis
IRJET-  	  AC Motor Fault Analyser by Characteristic AnalysisIRJET-  	  AC Motor Fault Analyser by Characteristic Analysis
IRJET- AC Motor Fault Analyser by Characteristic AnalysisIRJET Journal
 

Similar to IRJET-Power Efficient Implementation of Asynchronous Counter using Intelligent Clock Gating (20)

Design & implementation of 16 bit low power ALU with clock gating
Design & implementation of 16 bit low power ALU with clock gatingDesign & implementation of 16 bit low power ALU with clock gating
Design & implementation of 16 bit low power ALU with clock gating
 
DESIGN AND IMPLEMENTATION OF LOW POWER ALU USING CLOCK GATING AND CARRY SELEC...
DESIGN AND IMPLEMENTATION OF LOW POWER ALU USING CLOCK GATING AND CARRY SELEC...DESIGN AND IMPLEMENTATION OF LOW POWER ALU USING CLOCK GATING AND CARRY SELEC...
DESIGN AND IMPLEMENTATION OF LOW POWER ALU USING CLOCK GATING AND CARRY SELEC...
 
IRJET- A High Performance Parallel Architecture for Linear Feedback Shift Reg...
IRJET- A High Performance Parallel Architecture for Linear Feedback Shift Reg...IRJET- A High Performance Parallel Architecture for Linear Feedback Shift Reg...
IRJET- A High Performance Parallel Architecture for Linear Feedback Shift Reg...
 
[IJET-V1I3P5] Authors :Dushyant Kumar Soni, Ashish Hiradhar
[IJET-V1I3P5] Authors :Dushyant Kumar Soni, Ashish Hiradhar[IJET-V1I3P5] Authors :Dushyant Kumar Soni, Ashish Hiradhar
[IJET-V1I3P5] Authors :Dushyant Kumar Soni, Ashish Hiradhar
 
IRJET- Development of General Purpose Controller Board
IRJET- Development of General Purpose Controller BoardIRJET- Development of General Purpose Controller Board
IRJET- Development of General Purpose Controller Board
 
Dynamic Power Reduction of Digital Circuits by ClockGating
Dynamic Power Reduction of Digital Circuits by ClockGatingDynamic Power Reduction of Digital Circuits by ClockGating
Dynamic Power Reduction of Digital Circuits by ClockGating
 
Performance Comparison of Various Clock Gating Techniques
Performance Comparison of Various Clock Gating TechniquesPerformance Comparison of Various Clock Gating Techniques
Performance Comparison of Various Clock Gating Techniques
 
Low power cmos binary counter using conventional flip flops
Low power cmos binary counter using conventional flip   flopsLow power cmos binary counter using conventional flip   flops
Low power cmos binary counter using conventional flip flops
 
IRJET- Development of the Spark Gap Adjustment using PLC
IRJET- Development of the Spark Gap Adjustment using PLCIRJET- Development of the Spark Gap Adjustment using PLC
IRJET- Development of the Spark Gap Adjustment using PLC
 
Power Optimized Datapath Units of Hybrid Embedded Core Architecture Using Clo...
Power Optimized Datapath Units of Hybrid Embedded Core Architecture Using Clo...Power Optimized Datapath Units of Hybrid Embedded Core Architecture Using Clo...
Power Optimized Datapath Units of Hybrid Embedded Core Architecture Using Clo...
 
IRJET- Reliability Enhancement of Low-Power Sequential Circuits using Power G...
IRJET- Reliability Enhancement of Low-Power Sequential Circuits using Power G...IRJET- Reliability Enhancement of Low-Power Sequential Circuits using Power G...
IRJET- Reliability Enhancement of Low-Power Sequential Circuits using Power G...
 
IRJET- Internet of Things (IoT) based Smart Grid
IRJET- Internet of Things (IoT) based Smart GridIRJET- Internet of Things (IoT) based Smart Grid
IRJET- Internet of Things (IoT) based Smart Grid
 
IRJET- Development of Intelligent Accelerometer and its Implementation wi...
IRJET-  	  Development of Intelligent Accelerometer and its Implementation wi...IRJET-  	  Development of Intelligent Accelerometer and its Implementation wi...
IRJET- Development of Intelligent Accelerometer and its Implementation wi...
 
Design of Low Power Sequential System Using Multi Bit FLIP-FLOP With Data Dri...
Design of Low Power Sequential System Using Multi Bit FLIP-FLOP With Data Dri...Design of Low Power Sequential System Using Multi Bit FLIP-FLOP With Data Dri...
Design of Low Power Sequential System Using Multi Bit FLIP-FLOP With Data Dri...
 
Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift Register
Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift RegisterArea Efficient Pulsed Clock Generator Using Pulsed Latch Shift Register
Area Efficient Pulsed Clock Generator Using Pulsed Latch Shift Register
 
IRJET- Smart Battery Management
IRJET- Smart Battery ManagementIRJET- Smart Battery Management
IRJET- Smart Battery Management
 
AUTOMATIC COIL WINDING MACHINE
AUTOMATIC COIL WINDING MACHINEAUTOMATIC COIL WINDING MACHINE
AUTOMATIC COIL WINDING MACHINE
 
IRJET- PLC based Object Sorting Machine on their Height
IRJET- PLC based Object Sorting Machine on their HeightIRJET- PLC based Object Sorting Machine on their Height
IRJET- PLC based Object Sorting Machine on their Height
 
IRJET- An Efficient and Low Power Sram Testing using Clock Gating
IRJET-  	  An Efficient and Low Power Sram Testing using Clock GatingIRJET-  	  An Efficient and Low Power Sram Testing using Clock Gating
IRJET- An Efficient and Low Power Sram Testing using Clock Gating
 
IRJET- AC Motor Fault Analyser by Characteristic Analysis
IRJET-  	  AC Motor Fault Analyser by Characteristic AnalysisIRJET-  	  AC Motor Fault Analyser by Characteristic Analysis
IRJET- AC Motor Fault Analyser by Characteristic Analysis
 

More from IRJET Journal

TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...IRJET Journal
 
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURESTUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTUREIRJET Journal
 
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...IRJET Journal
 
Effect of Camber and Angles of Attack on Airfoil Characteristics
Effect of Camber and Angles of Attack on Airfoil CharacteristicsEffect of Camber and Angles of Attack on Airfoil Characteristics
Effect of Camber and Angles of Attack on Airfoil CharacteristicsIRJET Journal
 
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...IRJET Journal
 
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...IRJET Journal
 
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...IRJET Journal
 
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...IRJET Journal
 
A REVIEW ON MACHINE LEARNING IN ADAS
A REVIEW ON MACHINE LEARNING IN ADASA REVIEW ON MACHINE LEARNING IN ADAS
A REVIEW ON MACHINE LEARNING IN ADASIRJET Journal
 
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...IRJET Journal
 
P.E.B. Framed Structure Design and Analysis Using STAAD Pro
P.E.B. Framed Structure Design and Analysis Using STAAD ProP.E.B. Framed Structure Design and Analysis Using STAAD Pro
P.E.B. Framed Structure Design and Analysis Using STAAD ProIRJET Journal
 
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...IRJET Journal
 
Survey Paper on Cloud-Based Secured Healthcare System
Survey Paper on Cloud-Based Secured Healthcare SystemSurvey Paper on Cloud-Based Secured Healthcare System
Survey Paper on Cloud-Based Secured Healthcare SystemIRJET Journal
 
Review on studies and research on widening of existing concrete bridges
Review on studies and research on widening of existing concrete bridgesReview on studies and research on widening of existing concrete bridges
Review on studies and research on widening of existing concrete bridgesIRJET Journal
 
React based fullstack edtech web application
React based fullstack edtech web applicationReact based fullstack edtech web application
React based fullstack edtech web applicationIRJET Journal
 
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...IRJET Journal
 
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.IRJET Journal
 
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...IRJET Journal
 
Multistoried and Multi Bay Steel Building Frame by using Seismic Design
Multistoried and Multi Bay Steel Building Frame by using Seismic DesignMultistoried and Multi Bay Steel Building Frame by using Seismic Design
Multistoried and Multi Bay Steel Building Frame by using Seismic DesignIRJET Journal
 
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...IRJET Journal
 

More from IRJET Journal (20)

TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
 
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURESTUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
 
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
 
Effect of Camber and Angles of Attack on Airfoil Characteristics
Effect of Camber and Angles of Attack on Airfoil CharacteristicsEffect of Camber and Angles of Attack on Airfoil Characteristics
Effect of Camber and Angles of Attack on Airfoil Characteristics
 
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
 
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
 
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
 
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
 
A REVIEW ON MACHINE LEARNING IN ADAS
A REVIEW ON MACHINE LEARNING IN ADASA REVIEW ON MACHINE LEARNING IN ADAS
A REVIEW ON MACHINE LEARNING IN ADAS
 
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
 
P.E.B. Framed Structure Design and Analysis Using STAAD Pro
P.E.B. Framed Structure Design and Analysis Using STAAD ProP.E.B. Framed Structure Design and Analysis Using STAAD Pro
P.E.B. Framed Structure Design and Analysis Using STAAD Pro
 
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
 
Survey Paper on Cloud-Based Secured Healthcare System
Survey Paper on Cloud-Based Secured Healthcare SystemSurvey Paper on Cloud-Based Secured Healthcare System
Survey Paper on Cloud-Based Secured Healthcare System
 
Review on studies and research on widening of existing concrete bridges
Review on studies and research on widening of existing concrete bridgesReview on studies and research on widening of existing concrete bridges
Review on studies and research on widening of existing concrete bridges
 
React based fullstack edtech web application
React based fullstack edtech web applicationReact based fullstack edtech web application
React based fullstack edtech web application
 
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
 
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
 
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
 
Multistoried and Multi Bay Steel Building Frame by using Seismic Design
Multistoried and Multi Bay Steel Building Frame by using Seismic DesignMultistoried and Multi Bay Steel Building Frame by using Seismic Design
Multistoried and Multi Bay Steel Building Frame by using Seismic Design
 
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
 

Recently uploaded

HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVHARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVRajaP95
 
Call Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile serviceCall Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile servicerehmti665
 
Microscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptxMicroscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptxpurnimasatapathy1234
 
Porous Ceramics seminar and technical writing
Porous Ceramics seminar and technical writingPorous Ceramics seminar and technical writing
Porous Ceramics seminar and technical writingrakeshbaidya232001
 
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...ranjana rawat
 
Software Development Life Cycle By Team Orange (Dept. of Pharmacy)
Software Development Life Cycle By  Team Orange (Dept. of Pharmacy)Software Development Life Cycle By  Team Orange (Dept. of Pharmacy)
Software Development Life Cycle By Team Orange (Dept. of Pharmacy)Suman Mia
 
Introduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptxIntroduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptxupamatechverse
 
Analog to Digital and Digital to Analog Converter
Analog to Digital and Digital to Analog ConverterAnalog to Digital and Digital to Analog Converter
Analog to Digital and Digital to Analog ConverterAbhinavSharma374939
 
chaitra-1.pptx fake news detection using machine learning
chaitra-1.pptx  fake news detection using machine learningchaitra-1.pptx  fake news detection using machine learning
chaitra-1.pptx fake news detection using machine learningmisbanausheenparvam
 
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICS
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICSHARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICS
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICSRajkumarAkumalla
 
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝soniya singh
 
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...ranjana rawat
 
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escortsranjana rawat
 
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...ranjana rawat
 
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...ranjana rawat
 
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur EscortsCall Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur High Profile
 
Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024hassan khalil
 

Recently uploaded (20)

HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVHARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
 
DJARUM4D - SLOT GACOR ONLINE | SLOT DEMO ONLINE
DJARUM4D - SLOT GACOR ONLINE | SLOT DEMO ONLINEDJARUM4D - SLOT GACOR ONLINE | SLOT DEMO ONLINE
DJARUM4D - SLOT GACOR ONLINE | SLOT DEMO ONLINE
 
★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR
★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR
★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR
 
Call Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile serviceCall Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile service
 
Microscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptxMicroscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptx
 
Porous Ceramics seminar and technical writing
Porous Ceramics seminar and technical writingPorous Ceramics seminar and technical writing
Porous Ceramics seminar and technical writing
 
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
 
Software Development Life Cycle By Team Orange (Dept. of Pharmacy)
Software Development Life Cycle By  Team Orange (Dept. of Pharmacy)Software Development Life Cycle By  Team Orange (Dept. of Pharmacy)
Software Development Life Cycle By Team Orange (Dept. of Pharmacy)
 
Introduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptxIntroduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptx
 
Call Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCR
Call Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCRCall Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCR
Call Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCR
 
Analog to Digital and Digital to Analog Converter
Analog to Digital and Digital to Analog ConverterAnalog to Digital and Digital to Analog Converter
Analog to Digital and Digital to Analog Converter
 
chaitra-1.pptx fake news detection using machine learning
chaitra-1.pptx  fake news detection using machine learningchaitra-1.pptx  fake news detection using machine learning
chaitra-1.pptx fake news detection using machine learning
 
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICS
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICSHARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICS
HARDNESS, FRACTURE TOUGHNESS AND STRENGTH OF CERAMICS
 
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
 
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
 
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
 
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
(SHREYA) Chakan Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Esc...
 
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
 
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur EscortsCall Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
 
Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024
 

IRJET-Power Efficient Implementation of Asynchronous Counter using Intelligent Clock Gating

  • 1. International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056 Volume: 05 Issue: 06 | June 2018 www.irjet.net p-ISSN: 2395-0072 © 2018, IRJET | Impact Factor value: 7.211 | ISO 9001:2008 Certified Journal | Page 2304 POWER EFFICIENT IMPLEMENTATION OF ASYNCHRONOUS COUNTER USING INTELLIGENT CLOCK GATING Ujwal R1, Mahadev Shiva Bhat2, Shivakanth R3, Naga Surya S4 1.2.3.4. Student, Department of Electronics and Communication Engineering, PES University, Bangalore, India ---------------------------------------------------------------------***--------------------------------------------------------------------- Abstract – In the present field of low power design technology, it is very essential to reduce the clock power as more than 40% of the power dissipation of the circuit is dueto the clock variations. Various techniques for power reduction exist at the gate level abstraction. The most effectiveoneoutof all is the clock gating technique. This technique uses the enable conditions which are attached to the registers to gate the clocks. In this paper, the power reduction of Very Large- Scale Integration (VLSI) sequential circuits is achieved by the technique of intelligent clock gating. Themainconceptofclock gating is to provide clock transition to a circuit or segment when it needs to toggle or shift a data and avoid unnecessary clock transitions. This clock gating technique hastobeapplied to every block in the design which would lead to more power consumption due to the added logic instead of reducing the power. So, this intelligent clock gating techniquewillminimize the power consumption such that the performance of the circuit is not hampered. Key Words: Low power design, Very Large-Scale Integration, Gate level, Sequential Circuits, Intelligent clock gating, Clock transition 1.INTRODUCTION In the earlier days, the clock gating technique has been used exhaustively for each block of the high frequency sequential circuits. Since the number of transistors on the chip have been increasing exponentially, the tradeoff comes in the form of increased area and power consumption. So, higher level techniques such as clock gating, power gating with sleep modes had been introduced. But applying clock gating for every block in the circuit becomes too cumbersome and because of increased package density, it has become a tedious task to manually verify the power at each block. So, this intelligent clock gating technique in the Xilinx Vivado will perform the clock gating on the entire design and doesn’t change the predefined logic of the overall circuit design. This also maintains the timing constraints. This technique can be applied to Xilinx series such as Virtex(6,7), Spartan(6), Zynq-7000 AP SoCs. The above-mentioned technique has been performed and verified on Zynq board. This technique uses Chip enable to block the uninfluential signals from advancing towards the corresponding design segments and hence reducing the power consumed. For some of the System on Chip designs, the paths would be driven by multiple clock sources(one clock and gatedclock), There may be a chance that data from the clock will reach faster than the clock created by gated logic. This will create the race around conditions and also leads to Timing constraints violation. In such type of Soc designs to remove the race conditions, the base clock has to be separated and gating from the gated clock. Then the separated base clock has to be routed to the clock and gating to the clock will enable the sequential elements. While clock is OFF, every sequential element will be disabled and when the clock is ON, sequential elements will be enabled. [4] Synopsys Inc. [4] explains about problemsarising insomeof the SOC designs due to clock gating. It also explains how to overcome the race around condition and clock skew constraints. Gary K Yeap. [5] gives an introduction to the concept of low power VLSI design. The concept of power reduction using various techniques at different abstraction levels, and also the tradeoff between area and power have been explained. This also references the clock gating technique for power reduction. Samir Palnitkar. [2] introduced the basics of Verilog hardware description language at gate level and behavioral level abstraction. J Bhasker. [3] depicts various implementations of the design using Verilog hardware description language. PriyaSingh et al. [1] mentioned the technique of intelligent clock gating and gave a brief introduction. A white Paper from Xilinx. [6] givesa precise overview of intelligent clock gatingtechnique and also explains the design flow of the technique. Jitesh Shinde et al. [7] explains about the technique of power optimization for VLSI circuits. It also explains about the challengesencountered when implementingintelligentclock gating technique for power reduction. In this paper, the few above limitations faced during the implementation and the tradeoffs encountered have been precisely tackled with a systematic approach. Firstly, the design flow is explained and then the need for clock gatingis also explained. An asynchronous counter is built on Xilinx Vivado Design Suite and the intelligent clock gating technique is applied for this corresponding circuit. It is further simulated on Xilinx Vivado and the power consumption with and without intelligent clock gating are compared and discussed.
  • 2. International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056 Volume: 05 Issue: 06 | June 2018 www.irjet.net p-ISSN: 2395-0072 © 2018, IRJET | Impact Factor value: 7.211 | ISO 9001:2008 Certified Journal | Page 2305 2. TECHNICAL STUDIES 2.1 Need for Clock Gating Clock Tree is an important part of Placement and Routing step in the Design flow. Hence the efficient usage of clock gating, clock architecture, Implementation is good for optimizing the power. Here the Clock-gating efficiency becomes more important. To get the good clock-gating efficiency we have to first maximize the effect of gating to reduce the idle power and secondly minimize ungated part of the clock-tree. 2.2 Design Flow The basic design flow begins with opening a new project in the Xilinx-Vivado tool and writing the RTL design code in Verilog Hardware Description Language [2]. Then,theZynq- 7, spartan-6, kintex-7, virtex-6,7 boards are chosen depending on the required application. RTL Simulation, Synthesis and Implementations are done to get the optimized power output. Fig-1: Flow Chart 2.2 Asynchronous Counter Fig-2: Circuit Fig-3: Truth Table This paper demonstrates power reduction implementation on a simple asynchronous counter with reset which counts from 0 to 7. It’s very important to apply the Clock gating efficiently to this basic block as it is used in wide range of applications like Timers, Alarm Clock etc. it can be used also as a clock divider. Most of the time, the on-chip devicesofthe processor work at low frequencies than chip frequencies, which in turn will help in reduction of power dissipation. 2.3 Power Analysis P = C ×V2 ×f--- expression for Power dissipation [5] P - dynamic power dissipation V - supply voltage f - frequency of operation (switching activities) Power consumption in CMOS can be modeled as switching activities, overall capacitance and square of the supply voltage. Supply voltage is mostly fixed becauseperformance of the MOSFET’s get slower as we decrease the supply voltage. Capacitances also cannot be decreased because it will be difficult at the physical level abstraction where material and process technology have to be reviewed. [5]
  • 3. International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056 Volume: 05 Issue: 06 | June 2018 www.irjet.net p-ISSN: 2395-0072 © 2018, IRJET | Impact Factor value: 7.211 | ISO 9001:2008 Certified Journal | Page 2306 Fig-4 Schematic from Vivado Xilinx Vivado for the Zynq boards provides the option of reducing the power at the logic level with the help of unique technique of intelligent clock gating. This study uses the ZYNQ-7 Board for further implementation. 2.4 Power Optimization Using Intelligent Clock Gating on Vivado Generally, Power Dissipation is categorized as Static and Dynamic. FPGA consistsof billions of transistorsmodeledas tiny capacitors. The power is consumed when it is switched on, this consumption is called Static power dissipation. We can modify only switching activity of the design by adding gating logic that will turn off the unused portions in the design. This is almost an impossible task for most of the complex designs. Vivado performs the intelligent Clock gating by finding sequential elementsthat do not contribute to the clock cycle. Clock-Enables and Port-Enables are appropriate to prevent unnecessary switching on the Registers and Flip-flops. Fig-5 Power Analysis with Intelligent Clock Gating The original Functionality remains unchanged. Pre- placement optimization will lead to maximal savings of the power. However, it is possible that timing of the circuit could be affected. The post placement optimization will ensure that they will not lead to timing violations. Vivado offersthe flexibility to optimize the entire designorportions of the design. [7] Fig-6 Power Analysis without Intelligent Clock Gating Fig-7 RTL Schematic Fig-5 shows the power analysis with intelligent clock gating which hasdynamic power of 2.692W. Fig-6showsthepower analysis without intelligent clock gating which has dynamic power of 3.432W.
  • 4. International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056 Volume: 05 Issue: 06 | June 2018 www.irjet.net p-ISSN: 2395-0072 © 2018, IRJET | Impact Factor value: 7.211 | ISO 9001:2008 Certified Journal | Page 2307 Fig-8 Device Utilization Summary In normal register-transfer level (RTL) coding for counter related applications, one tries to use multiplexers, gates, buffers wherever required which includeslots of redundant logic. But the use of Intelligent clock Gating will introduce the clock enable signal wherever necessary. This technique shows the power reduction of 21.57% for the normal basic counter (without clock gated) simulation. 2.5 Challenges During intelligent clock gating, the area increases since it will include the clock enable signals and their corresponding logic. The computation of such designs may consume a large amount of time to be evaluated and decide the logic for enable signals. This increases the computation time which can be overcome in the future by precomputing and storing the gating logic. 3. CONCLUSIONS In this paper, the drawbacks of using the manualclockgating techniques have been tackled successfully. This is achieved by implementing the intelligent clock gating techniqueonan asynchronous counter resulting in a power reduction of 21.57% of the general asynchronous counter. And also, as mentioned above, it can be applied to various other circuits to achieve better power reduction. This amount of power reduction is very significant in the field of Low Power VLSI Design as it also successfully accomplishes to reduce the manual computation time and the timing constraints of the design. Since the evolution of consumer electronics, the time to market has been reducing rapidly because of many automation techniques used by the manufacturing industries. The technique discussed in this paper paves way for improving the above constraint in a systematicapproach. ACKNOWLEDGEMENT We would like to express our sincere gratitude to the Department of Electronics and Communication Engineering, PES University for the guidance and support provided. REFERENCES [1] Priya Singh, Ravi Goel, “Clock Gating: A Comprehensive Power Optimization Technique for Sequential Circuits”, Vol2, Issue 2, Ver.2- IJARCST 2014. [2] Samir Palnitkar, “Verilog HDL - A Guide to DigitalDesign and Synthesis” 2rd edition, 2003. [3] J Bhasker, “Verilog HDL Synthesis A Practical Primer “ 2nd edition, 1998. [4] Synopsys Inc, “FPGA-Based Prototyping Methodology Manual”. [5] Gary K Yeap, “Practical Low Power VLSI Design”. [6] Frederic Rivoallon, Jagadeesh Balasubramanian ‘‘Switching Power with Intelligent Clock Gating’’, WP370, Xilinx, March 1, 2011. [7] Jitesh Shinde, Dr.S.S. Salankar, “Clock Gating –A Power Optimizing Technique for VLSI Circuits”.