SlideShare a Scribd company logo
1 of 4
Download to read offline
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395 -0056
Volume: 04 Issue: 3 | Mar -2017 www.irjet.net p-ISSN: 2395-0072
© 2017, IRJET | Impact Factor value: 5.181 | ISO 9001:2008 Certified Journal | Page 630
Design & implementation of 16 bit low power ALU with clock gating
Kajal shinde1,Priya marathe2,Pallavi Kesarkar3,B.Lakshmipraba4
1,2,3BE Student (DYPIEMR ,Akurdi)
4Assistant Professor
1,2,3,4 Dept. of Electronics & Telecommunication Engineering, DYPIEMR, Akurdi, Pune, Maharashtra, India
---------------------------------------------------------------------***---------------------------------------------------------------------
Abstract - This project presents the need of ALU in low
power concern .Out of the various methods of controlling the
low power clock gating is one of the method has proven to be
the most versatile. The overall scheme of implementing low
power ALU has been presented .CPUs in general purpose
personal computers ,such as desktops ,laptops dissipate
significantly more power in the order of few watts because of
their higher complexity and speed.ALU is a fundamentalblock
of CPU .It does all the processes which are related to all
arithmetic and logical operation .As these all operations
become more complex, more expensive and requires more
space and contributes more power consumption ofthatALUis
a prime concern while designing of CPU .
Key Words: ALU, VHDL, Clock Gating, FPGA, Sparten3E
1. INTRODUCTION
Here, main aim to obtain faster device performance and the
optimization for lower power dissipation.Theideal designis
the one which has less power, less area but it has highest
device performance.But,theseparametersarecontradictory
with each other .So, better solution has to be specified to
maintain tradeoff between these all parameters .
Now-a-days microprocessors, microcontrollers are
designed to be operated at low power with a maximum
speed and also in the portable devices, there is more
necessity to improve battery life .ALU is themostcommonly
used module in the CPU during the execution of the
instruction .
In this paper, using clock gating technique a 16 bit ALU is
designed in VHDL language.Foroptimizationoflowerpower
consumption .A carry skip adder is used as a primary
element of the arithmetic unit .The design is stimulated in
Isim simulator and finally in Xilinx Spartan 3E FPGA .
Low Power ALU Design is based on application of clock
gate to turn off the sub-module of ALU that is not in use by
current executing instruction as decided by instruction
decoder unit. According to, Clock Power consumes 50-70
percent of total chip power and will increase in the next
coming generation of hardware designs at 32nm and below.
Hence, reducing clock power is very important. Clock
gating is a key power reduction technique used byhardware
designers and is typically implemented by RTL-level HDL
Simulator or gate level power analyser tools.
( Power = CL × Voltage × frequency )
In equation power is directly proportional to the squareof
voltage and the frequency of the clock.
1.1 Dynamic power dissipation
Dynamic power dissipation of CMOS circuit has two
parts- dynamic switching power and short-circuit current
power . Dynamic switching power is dissipated every time
the logic state of the gate changes. It is represented as P =
nfCLVdd2, where f is the frequency of switching, CL is the
load capacitance, Vdd is supply voltage and n is the
probability of switching. This power can be reduced by
lowering switching frequency; however it is not desirable as
it limits the speed of operation of thedevice.ncanbereduced
by reducing redundant switching activity. Vdd can also be
reduced, however it leads to increased propagation delays
and hence not desirable. Henceapropertradeoffmustbemet
between these parameters to obtain satisfactory device
performance. Short circuit current power is dissipated when
both the NMOSand CMOS MOSFETs are partiallyon,duringa
switching activity. In this case a direct short circuit path is
momentarily formed between power supply and ground,
leading to significant power dissipation. This can be
controlled by regulating the slew rate and applying sharp
clock edges. However, generating such a clock is difficult.
1.2 Static power dissipation
Static or quiescent power dissipation is independent of
the switching activity of the circuit. This is caused due to
leakage current in the device during steady state. Sub-
threshold conduction isthereasonforthispowerdissipation
and can be controlled by biasing the MOSFETs well below
their threshold voltages and using multiple threshold CMOS
designs.
1.3 Clock Gating
Clock power constitutes a significant portion of dynamic
power. In a synchronous circuit several modulesareclocked
at the same time. However, at any particular instant only a
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395 -0056
Volume: 04 Issue: 3 | Mar -2017 www.irjet.net p-ISSN: 2395-0072
© 2017, IRJET | Impact Factor value: 5.181 | ISO 9001:2008 Certified Journal | Page 631
single module may be functional. Hence, unnecessary
clocking of the other modules lead to a lot of power
dissipation. Clock gating technique is a power down
methodology, whichinvolvesselectivelyclockingmodulesas
and when required while keeping other inactive modules in
sleep mode. Thus the power dissipation due to charging and
discharging of the clock at unused gates, is avoided in this
strategy. Clock gating is achieved by ANDing the clock signal
with a control signal to form a gated clock, which is then
applied to different components of the circuit. To which
module the gated clock should be applied is decided based
on the control signal.
2. WORKING
Fig 1: block diagram
2.1 Arithmetic unit
Here it is designed to perform some arithmetic operations.
Some operations are addition & subtraction. The core of
arithmetic unit is a variable block length carry skip adder.
The maximum combinational path delay(pad to pad) from
carry input to carry output has been found to be 22.005 ns
which is almost same as that of a ripple carry adder,
however, the power dissipation is a little lower than the
ripple carry adder. The effect of using carry skip adder with
variable block length to minimize carry propagationdelayis
more pronounced for higher number of bits. Each indivisual
block is a ripple carry adder. The carry generated in each
block enters the ripple carry logic along with carry
generated in previous block. A bitwise XOR operation
between operands is done with skip logic block &resultsare
ANDed together to form the propagation bit.
FIG 2: Structure of variable block length carry skip adder
TABLE 1: Operations performed by the arithmetic unit
based on s1, s0 & carry in
2.2 logic unit
Operand A & B enter the logic unit through registers
controlled by gated clock, like arithmetic unit giving it
exclusively of operationonlywhenrequiredbyALU.The
logic unit can perform four operations based on
selection of s0 & s1.
S0 S1 Carry in Operation
0 0 0 A+B
0 0 1 A+B+1
0 1 0 A+(not B)
0 1 1 A-B
1 0 0 A
1 0 1 A+1
1 1 0 A-1
1 1 1 A
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395 -0056
Volume: 04 Issue: 3 | Mar -2017 www.irjet.net p-ISSN: 2395-0072
© 2017, IRJET | Impact Factor value: 5.181 | ISO 9001:2008 Certified Journal | Page 632
S1 S0 operation
0 0 A AND B
0 1 A XOR B
1 0 A OR B
1 1 NOT B
TABLE 2: Operations performed by the logic unit based on
s1,s0
2.3CLOCK GATING CIRCUIT
The clock gating circuit takes clock input&generatesa gated
clock based on a control signal s2. The gated clock signal is
used to activate arithmetic or logic unit. Preventing
unnecessary charging & discharging of clock signal in
inactive modules leads to lower dynamic power dissipation.
FIG 3: CLOCK GATING CIRCUIT
The master clock input is fed to circuit. When control signal
input s2 is zero, clock is gated through second AND gate to
arithmetic unit. Thus at a time only one gated clock outputis
active.
S2 ACTIVATION
0 Logic Unit
1 Arithmetic Unit
TABLE 2: Signal S2 activating different units of ALU
2.4 Output multiplexer and register
The computed outputs from the arithmetic and logic units
are fed into the output multiplexer. The proper output is
selected based on a control signal. This output isthensent to
the output register which is clocked by either of the two
gated clocks.
FIG 4: Structure of output register & multiplexer
When s2 is zero, the multiplexer output is taken from the
logic unit and when s2 is one the output is taken from the
arithmetic unit . the selected output is delivered via the
output register clocked by either of the gated clocks.
Operations are performed on 16-bit input operand values
namely A and B. On the basis of the value of input opcode a
particular operational logic block will be enabledtoperform
the logic operation.The output of the logic operation is
transferred to the output signal register .The operation by
the ALU is performed on the edge of the input clock
signal.Once the result value is generated, the next operation
can be initialized only when the “Enable” input is given a
logic high value followed by a logic low value.The registers
and output can be reset to logic low values at any time of
operation.
3.RESULT
Maximum frequency 65.19 Mhz
Total no. of 4 input LUT 107
Number of bonded IOBs 54
Number of occupied slices 94
4. ADVANTAGES, DISADVANTAGES AND
APPLICATIONS
4.1 Advantages
 Reduces dynamic power dissipation of ALU by
approx. 66.7%.
 Reduce carry propagation delay.
 Increased performance for high no. of bits.
4.2 Disadvantages
 Large Line Losses.
 Poor voltage regulation.
 Greater size.
4.3 Applications
 Used in portable devices such as cell phone, laptop,
computers.
 Used as a multiplier and accumulator (MAC)inDSP.
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395 -0056
Volume: 04 Issue: 3 | Mar -2017 www.irjet.net p-ISSN: 2395-0072
© 2017, IRJET | Impact Factor value: 5.181 | ISO 9001:2008 Certified Journal | Page 633
5. CONCLUSION
Power consumption in modern devices are a growing
concern as demands for increased battery life ,lower heat
dissipation and increased device reliability is on the rise.
Power reduction deals with synthesis, design at circuit level
and placement and routing stages, now movedtothe System
Level and Register Transfer Level. This is possible due to
clock gating which always switch off the inactive unit of
design & reduce overall power consumption.
6. REFERENCES
1.Wikipedia“http://en.wikipedia.org/wiki”
2.Ankit Mitra,” Design and implementation of low
power 16 bit ALU with clock gating” ISSN: 2278
– 1323 International Journal of
Advanced Research in Computer
Engineering & Technology (IJARCET)
Volume 2, Issue 6, June 2013
3.www.google.com
4.Xilinx XST user guide.
5.M. Morris Mano, “Register transfer and
Microoperations,” in Computer System
Architecture, 3rd ed. Pearson, India, pp. 106-
118.

More Related Content

What's hot

Fpga based motor controller
Fpga based motor controllerFpga based motor controller
Fpga based motor controllerUday Wankar
 
IRJET- A High Performance Parallel Architecture for Linear Feedback Shift Reg...
IRJET- A High Performance Parallel Architecture for Linear Feedback Shift Reg...IRJET- A High Performance Parallel Architecture for Linear Feedback Shift Reg...
IRJET- A High Performance Parallel Architecture for Linear Feedback Shift Reg...IRJET Journal
 
Bi directional speed control of dc motor and stepper
Bi directional speed control of dc motor and stepperBi directional speed control of dc motor and stepper
Bi directional speed control of dc motor and steppereSAT Publishing House
 
Design and development of matlab gui based fuzzy logic controllers for ac motor
Design and development of matlab gui based fuzzy logic controllers for ac motorDesign and development of matlab gui based fuzzy logic controllers for ac motor
Design and development of matlab gui based fuzzy logic controllers for ac motorIAEME Publication
 
2017 Atlanta Regional User Seminar - Using OPAL-RT Real-Time Simulation and H...
2017 Atlanta Regional User Seminar - Using OPAL-RT Real-Time Simulation and H...2017 Atlanta Regional User Seminar - Using OPAL-RT Real-Time Simulation and H...
2017 Atlanta Regional User Seminar - Using OPAL-RT Real-Time Simulation and H...OPAL-RT TECHNOLOGIES
 
BIDIRECTIONAL SPEED CONTROL OF DC MOTOR USING 8051 MICROCONTROLLER
BIDIRECTIONAL SPEED CONTROL OF DC MOTOR USING 8051 MICROCONTROLLERBIDIRECTIONAL SPEED CONTROL OF DC MOTOR USING 8051 MICROCONTROLLER
BIDIRECTIONAL SPEED CONTROL OF DC MOTOR USING 8051 MICROCONTROLLERShanmukha S. Potti
 
Pwm technique for dc motor Using Arduino
Pwm technique for dc motor Using ArduinoPwm technique for dc motor Using Arduino
Pwm technique for dc motor Using ArduinoKATHANSANJAYSHAH
 
DISH ANTENNA CONTROL USING TV REMOTE
DISH ANTENNA CONTROL USING TV REMOTEDISH ANTENNA CONTROL USING TV REMOTE
DISH ANTENNA CONTROL USING TV REMOTEARJUN K P
 
Low power cmos binary counter using conventional flip flops
Low power cmos binary counter using conventional flip   flopsLow power cmos binary counter using conventional flip   flops
Low power cmos binary counter using conventional flip flopsIAEME Publication
 
2017 Atlanta Regional User Seminar - Virtualizing Industrial Control Systems ...
2017 Atlanta Regional User Seminar - Virtualizing Industrial Control Systems ...2017 Atlanta Regional User Seminar - Virtualizing Industrial Control Systems ...
2017 Atlanta Regional User Seminar - Virtualizing Industrial Control Systems ...OPAL-RT TECHNOLOGIES
 
Power Optimized Datapath Units of Hybrid Embedded Core Architecture Using Clo...
Power Optimized Datapath Units of Hybrid Embedded Core Architecture Using Clo...Power Optimized Datapath Units of Hybrid Embedded Core Architecture Using Clo...
Power Optimized Datapath Units of Hybrid Embedded Core Architecture Using Clo...VLSICS Design
 
Rapid Control Prototyping Solutions
Rapid Control Prototyping SolutionsRapid Control Prototyping Solutions
Rapid Control Prototyping SolutionsOPAL-RT TECHNOLOGIES
 
Steper Motor Control Through Wireless
Steper Motor Control Through WirelessSteper Motor Control Through Wireless
Steper Motor Control Through WirelessPawan Bahuguna
 
Simulation power analysis low power vlsi
Simulation power analysis   low power vlsiSimulation power analysis   low power vlsi
Simulation power analysis low power vlsiGargiKhanna1
 
Architectural Level Techniques
Architectural Level TechniquesArchitectural Level Techniques
Architectural Level TechniquesGargiKhanna1
 
Speed con trol of dc motor
Speed con trol of dc motorSpeed con trol of dc motor
Speed con trol of dc motorAmit Ranjan
 
Design of Fuzzy Logic Controller for Speed Regulation of BLDC motor using MATLAB
Design of Fuzzy Logic Controller for Speed Regulation of BLDC motor using MATLABDesign of Fuzzy Logic Controller for Speed Regulation of BLDC motor using MATLAB
Design of Fuzzy Logic Controller for Speed Regulation of BLDC motor using MATLABijsrd.com
 
Orientation of Radar Antenna
Orientation of Radar AntennaOrientation of Radar Antenna
Orientation of Radar AntennaIOSR Journals
 

What's hot (20)

Fpga based motor controller
Fpga based motor controllerFpga based motor controller
Fpga based motor controller
 
IRJET- A High Performance Parallel Architecture for Linear Feedback Shift Reg...
IRJET- A High Performance Parallel Architecture for Linear Feedback Shift Reg...IRJET- A High Performance Parallel Architecture for Linear Feedback Shift Reg...
IRJET- A High Performance Parallel Architecture for Linear Feedback Shift Reg...
 
Bi directional speed control of dc motor and stepper
Bi directional speed control of dc motor and stepperBi directional speed control of dc motor and stepper
Bi directional speed control of dc motor and stepper
 
Design and development of matlab gui based fuzzy logic controllers for ac motor
Design and development of matlab gui based fuzzy logic controllers for ac motorDesign and development of matlab gui based fuzzy logic controllers for ac motor
Design and development of matlab gui based fuzzy logic controllers for ac motor
 
2017 Atlanta Regional User Seminar - Using OPAL-RT Real-Time Simulation and H...
2017 Atlanta Regional User Seminar - Using OPAL-RT Real-Time Simulation and H...2017 Atlanta Regional User Seminar - Using OPAL-RT Real-Time Simulation and H...
2017 Atlanta Regional User Seminar - Using OPAL-RT Real-Time Simulation and H...
 
BIDIRECTIONAL SPEED CONTROL OF DC MOTOR USING 8051 MICROCONTROLLER
BIDIRECTIONAL SPEED CONTROL OF DC MOTOR USING 8051 MICROCONTROLLERBIDIRECTIONAL SPEED CONTROL OF DC MOTOR USING 8051 MICROCONTROLLER
BIDIRECTIONAL SPEED CONTROL OF DC MOTOR USING 8051 MICROCONTROLLER
 
Pwm technique for dc motor Using Arduino
Pwm technique for dc motor Using ArduinoPwm technique for dc motor Using Arduino
Pwm technique for dc motor Using Arduino
 
Project_Report_Debargha
Project_Report_DebarghaProject_Report_Debargha
Project_Report_Debargha
 
DISH ANTENNA CONTROL USING TV REMOTE
DISH ANTENNA CONTROL USING TV REMOTEDISH ANTENNA CONTROL USING TV REMOTE
DISH ANTENNA CONTROL USING TV REMOTE
 
Low power cmos binary counter using conventional flip flops
Low power cmos binary counter using conventional flip   flopsLow power cmos binary counter using conventional flip   flops
Low power cmos binary counter using conventional flip flops
 
2017 Atlanta Regional User Seminar - Virtualizing Industrial Control Systems ...
2017 Atlanta Regional User Seminar - Virtualizing Industrial Control Systems ...2017 Atlanta Regional User Seminar - Virtualizing Industrial Control Systems ...
2017 Atlanta Regional User Seminar - Virtualizing Industrial Control Systems ...
 
Power Optimized Datapath Units of Hybrid Embedded Core Architecture Using Clo...
Power Optimized Datapath Units of Hybrid Embedded Core Architecture Using Clo...Power Optimized Datapath Units of Hybrid Embedded Core Architecture Using Clo...
Power Optimized Datapath Units of Hybrid Embedded Core Architecture Using Clo...
 
Rapid Control Prototyping Solutions
Rapid Control Prototyping SolutionsRapid Control Prototyping Solutions
Rapid Control Prototyping Solutions
 
Steper Motor Control Through Wireless
Steper Motor Control Through WirelessSteper Motor Control Through Wireless
Steper Motor Control Through Wireless
 
Downlog.asp
Downlog.aspDownlog.asp
Downlog.asp
 
Simulation power analysis low power vlsi
Simulation power analysis   low power vlsiSimulation power analysis   low power vlsi
Simulation power analysis low power vlsi
 
Architectural Level Techniques
Architectural Level TechniquesArchitectural Level Techniques
Architectural Level Techniques
 
Speed con trol of dc motor
Speed con trol of dc motorSpeed con trol of dc motor
Speed con trol of dc motor
 
Design of Fuzzy Logic Controller for Speed Regulation of BLDC motor using MATLAB
Design of Fuzzy Logic Controller for Speed Regulation of BLDC motor using MATLABDesign of Fuzzy Logic Controller for Speed Regulation of BLDC motor using MATLAB
Design of Fuzzy Logic Controller for Speed Regulation of BLDC motor using MATLAB
 
Orientation of Radar Antenna
Orientation of Radar AntennaOrientation of Radar Antenna
Orientation of Radar Antenna
 

Similar to Design & implementation of 16 bit low power ALU with clock gating

Volume 2-issue-6-2139-2142
Volume 2-issue-6-2139-2142Volume 2-issue-6-2139-2142
Volume 2-issue-6-2139-2142Editor IJARCET
 
DESIGN AND IMPLEMENTATION OF LOW POWER ALU USING CLOCK GATING AND CARRY SELEC...
DESIGN AND IMPLEMENTATION OF LOW POWER ALU USING CLOCK GATING AND CARRY SELEC...DESIGN AND IMPLEMENTATION OF LOW POWER ALU USING CLOCK GATING AND CARRY SELEC...
DESIGN AND IMPLEMENTATION OF LOW POWER ALU USING CLOCK GATING AND CARRY SELEC...IAEME Publication
 
IRJET-Power Efficient Implementation of Asynchronous Counter using Intelligen...
IRJET-Power Efficient Implementation of Asynchronous Counter using Intelligen...IRJET-Power Efficient Implementation of Asynchronous Counter using Intelligen...
IRJET-Power Efficient Implementation of Asynchronous Counter using Intelligen...IRJET Journal
 
IRJET - Low Power Design for Fast Full Adder
IRJET -  	  Low Power Design for Fast Full AdderIRJET -  	  Low Power Design for Fast Full Adder
IRJET - Low Power Design for Fast Full AdderIRJET Journal
 
IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...ijceronline
 
IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...ijceronline
 
IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Th...
IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Th...IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Th...
IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Th...IRJET Journal
 
INTERRUPT DRIVEN MULTIPLEXED 7 SEGMENT DIGITAL CLOCK
INTERRUPT DRIVEN MULTIPLEXED 7 SEGMENT DIGITAL CLOCKINTERRUPT DRIVEN MULTIPLEXED 7 SEGMENT DIGITAL CLOCK
INTERRUPT DRIVEN MULTIPLEXED 7 SEGMENT DIGITAL CLOCKSantanu Chatterjee
 
A Low Cost Yet Super efficient Means to Prevent Overloading as Well as Accide...
A Low Cost Yet Super efficient Means to Prevent Overloading as Well as Accide...A Low Cost Yet Super efficient Means to Prevent Overloading as Well as Accide...
A Low Cost Yet Super efficient Means to Prevent Overloading as Well as Accide...IRJET Journal
 
IRJET- Performance Analysis of Clock and Data Recovery Circuits using Multile...
IRJET- Performance Analysis of Clock and Data Recovery Circuits using Multile...IRJET- Performance Analysis of Clock and Data Recovery Circuits using Multile...
IRJET- Performance Analysis of Clock and Data Recovery Circuits using Multile...IRJET Journal
 
IRJET- Implementation and Analysis of Hybridization in Modified Parallel Adde...
IRJET- Implementation and Analysis of Hybridization in Modified Parallel Adde...IRJET- Implementation and Analysis of Hybridization in Modified Parallel Adde...
IRJET- Implementation and Analysis of Hybridization in Modified Parallel Adde...IRJET Journal
 
Harmonic current reduction by using the super lift boost converter for two st...
Harmonic current reduction by using the super lift boost converter for two st...Harmonic current reduction by using the super lift boost converter for two st...
Harmonic current reduction by using the super lift boost converter for two st...IJSRED
 
Design and Analysis of Sequential Circuit for Leakage Power Reduction using S...
Design and Analysis of Sequential Circuit for Leakage Power Reduction using S...Design and Analysis of Sequential Circuit for Leakage Power Reduction using S...
Design and Analysis of Sequential Circuit for Leakage Power Reduction using S...ijsrd.com
 
Electronic Circuit Breaker
Electronic Circuit BreakerElectronic Circuit Breaker
Electronic Circuit BreakerIRJET Journal
 
Designing and Controlling of Motor by Interfacing RS232 with Microcontroller
Designing and Controlling of Motor by Interfacing RS232 with MicrocontrollerDesigning and Controlling of Motor by Interfacing RS232 with Microcontroller
Designing and Controlling of Motor by Interfacing RS232 with MicrocontrollerIRJET Journal
 
Design of -- Two phase non overlapping low frequency clock generator using Ca...
Design of -- Two phase non overlapping low frequency clock generator using Ca...Design of -- Two phase non overlapping low frequency clock generator using Ca...
Design of -- Two phase non overlapping low frequency clock generator using Ca...Prashantkumar R
 
IRJET - Expansion of Logic Gates using Trainer
IRJET - Expansion of Logic Gates using TrainerIRJET - Expansion of Logic Gates using Trainer
IRJET - Expansion of Logic Gates using TrainerIRJET Journal
 
Arm Processor Based Speed Control Of BLDC Motor
Arm Processor Based Speed Control Of BLDC MotorArm Processor Based Speed Control Of BLDC Motor
Arm Processor Based Speed Control Of BLDC MotorUday Wankar
 
IRJET- Automation in Substation using Programmable Logic Controller (PLC)
IRJET- Automation in Substation using Programmable Logic Controller (PLC)IRJET- Automation in Substation using Programmable Logic Controller (PLC)
IRJET- Automation in Substation using Programmable Logic Controller (PLC)IRJET Journal
 
IRJET- A Review Paper on Development of General Purpose Controller Board
IRJET- A Review Paper on Development of General Purpose Controller BoardIRJET- A Review Paper on Development of General Purpose Controller Board
IRJET- A Review Paper on Development of General Purpose Controller BoardIRJET Journal
 

Similar to Design & implementation of 16 bit low power ALU with clock gating (20)

Volume 2-issue-6-2139-2142
Volume 2-issue-6-2139-2142Volume 2-issue-6-2139-2142
Volume 2-issue-6-2139-2142
 
DESIGN AND IMPLEMENTATION OF LOW POWER ALU USING CLOCK GATING AND CARRY SELEC...
DESIGN AND IMPLEMENTATION OF LOW POWER ALU USING CLOCK GATING AND CARRY SELEC...DESIGN AND IMPLEMENTATION OF LOW POWER ALU USING CLOCK GATING AND CARRY SELEC...
DESIGN AND IMPLEMENTATION OF LOW POWER ALU USING CLOCK GATING AND CARRY SELEC...
 
IRJET-Power Efficient Implementation of Asynchronous Counter using Intelligen...
IRJET-Power Efficient Implementation of Asynchronous Counter using Intelligen...IRJET-Power Efficient Implementation of Asynchronous Counter using Intelligen...
IRJET-Power Efficient Implementation of Asynchronous Counter using Intelligen...
 
IRJET - Low Power Design for Fast Full Adder
IRJET -  	  Low Power Design for Fast Full AdderIRJET -  	  Low Power Design for Fast Full Adder
IRJET - Low Power Design for Fast Full Adder
 
IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...
 
IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...
 
IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Th...
IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Th...IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Th...
IRJET- Reduction of Power, Leakage and Area of a Standard Cell Asics using Th...
 
INTERRUPT DRIVEN MULTIPLEXED 7 SEGMENT DIGITAL CLOCK
INTERRUPT DRIVEN MULTIPLEXED 7 SEGMENT DIGITAL CLOCKINTERRUPT DRIVEN MULTIPLEXED 7 SEGMENT DIGITAL CLOCK
INTERRUPT DRIVEN MULTIPLEXED 7 SEGMENT DIGITAL CLOCK
 
A Low Cost Yet Super efficient Means to Prevent Overloading as Well as Accide...
A Low Cost Yet Super efficient Means to Prevent Overloading as Well as Accide...A Low Cost Yet Super efficient Means to Prevent Overloading as Well as Accide...
A Low Cost Yet Super efficient Means to Prevent Overloading as Well as Accide...
 
IRJET- Performance Analysis of Clock and Data Recovery Circuits using Multile...
IRJET- Performance Analysis of Clock and Data Recovery Circuits using Multile...IRJET- Performance Analysis of Clock and Data Recovery Circuits using Multile...
IRJET- Performance Analysis of Clock and Data Recovery Circuits using Multile...
 
IRJET- Implementation and Analysis of Hybridization in Modified Parallel Adde...
IRJET- Implementation and Analysis of Hybridization in Modified Parallel Adde...IRJET- Implementation and Analysis of Hybridization in Modified Parallel Adde...
IRJET- Implementation and Analysis of Hybridization in Modified Parallel Adde...
 
Harmonic current reduction by using the super lift boost converter for two st...
Harmonic current reduction by using the super lift boost converter for two st...Harmonic current reduction by using the super lift boost converter for two st...
Harmonic current reduction by using the super lift boost converter for two st...
 
Design and Analysis of Sequential Circuit for Leakage Power Reduction using S...
Design and Analysis of Sequential Circuit for Leakage Power Reduction using S...Design and Analysis of Sequential Circuit for Leakage Power Reduction using S...
Design and Analysis of Sequential Circuit for Leakage Power Reduction using S...
 
Electronic Circuit Breaker
Electronic Circuit BreakerElectronic Circuit Breaker
Electronic Circuit Breaker
 
Designing and Controlling of Motor by Interfacing RS232 with Microcontroller
Designing and Controlling of Motor by Interfacing RS232 with MicrocontrollerDesigning and Controlling of Motor by Interfacing RS232 with Microcontroller
Designing and Controlling of Motor by Interfacing RS232 with Microcontroller
 
Design of -- Two phase non overlapping low frequency clock generator using Ca...
Design of -- Two phase non overlapping low frequency clock generator using Ca...Design of -- Two phase non overlapping low frequency clock generator using Ca...
Design of -- Two phase non overlapping low frequency clock generator using Ca...
 
IRJET - Expansion of Logic Gates using Trainer
IRJET - Expansion of Logic Gates using TrainerIRJET - Expansion of Logic Gates using Trainer
IRJET - Expansion of Logic Gates using Trainer
 
Arm Processor Based Speed Control Of BLDC Motor
Arm Processor Based Speed Control Of BLDC MotorArm Processor Based Speed Control Of BLDC Motor
Arm Processor Based Speed Control Of BLDC Motor
 
IRJET- Automation in Substation using Programmable Logic Controller (PLC)
IRJET- Automation in Substation using Programmable Logic Controller (PLC)IRJET- Automation in Substation using Programmable Logic Controller (PLC)
IRJET- Automation in Substation using Programmable Logic Controller (PLC)
 
IRJET- A Review Paper on Development of General Purpose Controller Board
IRJET- A Review Paper on Development of General Purpose Controller BoardIRJET- A Review Paper on Development of General Purpose Controller Board
IRJET- A Review Paper on Development of General Purpose Controller Board
 

More from IRJET Journal

TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...IRJET Journal
 
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURESTUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTUREIRJET Journal
 
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...IRJET Journal
 
Effect of Camber and Angles of Attack on Airfoil Characteristics
Effect of Camber and Angles of Attack on Airfoil CharacteristicsEffect of Camber and Angles of Attack on Airfoil Characteristics
Effect of Camber and Angles of Attack on Airfoil CharacteristicsIRJET Journal
 
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...IRJET Journal
 
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...IRJET Journal
 
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...IRJET Journal
 
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...IRJET Journal
 
A REVIEW ON MACHINE LEARNING IN ADAS
A REVIEW ON MACHINE LEARNING IN ADASA REVIEW ON MACHINE LEARNING IN ADAS
A REVIEW ON MACHINE LEARNING IN ADASIRJET Journal
 
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...IRJET Journal
 
P.E.B. Framed Structure Design and Analysis Using STAAD Pro
P.E.B. Framed Structure Design and Analysis Using STAAD ProP.E.B. Framed Structure Design and Analysis Using STAAD Pro
P.E.B. Framed Structure Design and Analysis Using STAAD ProIRJET Journal
 
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...IRJET Journal
 
Survey Paper on Cloud-Based Secured Healthcare System
Survey Paper on Cloud-Based Secured Healthcare SystemSurvey Paper on Cloud-Based Secured Healthcare System
Survey Paper on Cloud-Based Secured Healthcare SystemIRJET Journal
 
Review on studies and research on widening of existing concrete bridges
Review on studies and research on widening of existing concrete bridgesReview on studies and research on widening of existing concrete bridges
Review on studies and research on widening of existing concrete bridgesIRJET Journal
 
React based fullstack edtech web application
React based fullstack edtech web applicationReact based fullstack edtech web application
React based fullstack edtech web applicationIRJET Journal
 
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...IRJET Journal
 
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.IRJET Journal
 
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...IRJET Journal
 
Multistoried and Multi Bay Steel Building Frame by using Seismic Design
Multistoried and Multi Bay Steel Building Frame by using Seismic DesignMultistoried and Multi Bay Steel Building Frame by using Seismic Design
Multistoried and Multi Bay Steel Building Frame by using Seismic DesignIRJET Journal
 
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...IRJET Journal
 

More from IRJET Journal (20)

TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
 
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURESTUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
 
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
 
Effect of Camber and Angles of Attack on Airfoil Characteristics
Effect of Camber and Angles of Attack on Airfoil CharacteristicsEffect of Camber and Angles of Attack on Airfoil Characteristics
Effect of Camber and Angles of Attack on Airfoil Characteristics
 
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
 
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
 
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
 
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
 
A REVIEW ON MACHINE LEARNING IN ADAS
A REVIEW ON MACHINE LEARNING IN ADASA REVIEW ON MACHINE LEARNING IN ADAS
A REVIEW ON MACHINE LEARNING IN ADAS
 
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
 
P.E.B. Framed Structure Design and Analysis Using STAAD Pro
P.E.B. Framed Structure Design and Analysis Using STAAD ProP.E.B. Framed Structure Design and Analysis Using STAAD Pro
P.E.B. Framed Structure Design and Analysis Using STAAD Pro
 
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
 
Survey Paper on Cloud-Based Secured Healthcare System
Survey Paper on Cloud-Based Secured Healthcare SystemSurvey Paper on Cloud-Based Secured Healthcare System
Survey Paper on Cloud-Based Secured Healthcare System
 
Review on studies and research on widening of existing concrete bridges
Review on studies and research on widening of existing concrete bridgesReview on studies and research on widening of existing concrete bridges
Review on studies and research on widening of existing concrete bridges
 
React based fullstack edtech web application
React based fullstack edtech web applicationReact based fullstack edtech web application
React based fullstack edtech web application
 
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
 
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
 
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
 
Multistoried and Multi Bay Steel Building Frame by using Seismic Design
Multistoried and Multi Bay Steel Building Frame by using Seismic DesignMultistoried and Multi Bay Steel Building Frame by using Seismic Design
Multistoried and Multi Bay Steel Building Frame by using Seismic Design
 
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
 

Recently uploaded

(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...ranjana rawat
 
main PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfidmain PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfidNikhilNagaraju
 
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur EscortsCall Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur High Profile
 
Processing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptxProcessing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptxpranjaldaimarysona
 
Extrusion Processes and Their Limitations
Extrusion Processes and Their LimitationsExtrusion Processes and Their Limitations
Extrusion Processes and Their Limitations120cr0395
 
Call Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile serviceCall Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile servicerehmti665
 
College Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service NashikCollege Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service NashikCall Girls in Nagpur High Profile
 
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝soniya singh
 
Coefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptxCoefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptxAsutosh Ranjan
 
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxDecoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxJoão Esperancinha
 
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escortsranjana rawat
 
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...ranjana rawat
 
Microscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptxMicroscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptxpurnimasatapathy1234
 
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...Dr.Costas Sachpazis
 
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVHARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVRajaP95
 
GDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSCAESB
 
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICSAPPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICSKurinjimalarL3
 
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...Soham Mondal
 

Recently uploaded (20)

(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
 
main PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfidmain PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfid
 
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur EscortsCall Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
 
Processing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptxProcessing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptx
 
Extrusion Processes and Their Limitations
Extrusion Processes and Their LimitationsExtrusion Processes and Their Limitations
Extrusion Processes and Their Limitations
 
Call Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile serviceCall Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile service
 
College Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service NashikCollege Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
 
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
 
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
 
Coefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptxCoefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptx
 
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptxDecoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
Decoding Kotlin - Your guide to solving the mysterious in Kotlin.pptx
 
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
 
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
The Most Attractive Pune Call Girls Budhwar Peth 8250192130 Will You Miss Thi...
 
Microscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptxMicroscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptx
 
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
 
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVHARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
 
GDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentation
 
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICSAPPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
 
Roadmap to Membership of RICS - Pathways and Routes
Roadmap to Membership of RICS - Pathways and RoutesRoadmap to Membership of RICS - Pathways and Routes
Roadmap to Membership of RICS - Pathways and Routes
 
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
 

Design & implementation of 16 bit low power ALU with clock gating

  • 1. International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395 -0056 Volume: 04 Issue: 3 | Mar -2017 www.irjet.net p-ISSN: 2395-0072 © 2017, IRJET | Impact Factor value: 5.181 | ISO 9001:2008 Certified Journal | Page 630 Design & implementation of 16 bit low power ALU with clock gating Kajal shinde1,Priya marathe2,Pallavi Kesarkar3,B.Lakshmipraba4 1,2,3BE Student (DYPIEMR ,Akurdi) 4Assistant Professor 1,2,3,4 Dept. of Electronics & Telecommunication Engineering, DYPIEMR, Akurdi, Pune, Maharashtra, India ---------------------------------------------------------------------***--------------------------------------------------------------------- Abstract - This project presents the need of ALU in low power concern .Out of the various methods of controlling the low power clock gating is one of the method has proven to be the most versatile. The overall scheme of implementing low power ALU has been presented .CPUs in general purpose personal computers ,such as desktops ,laptops dissipate significantly more power in the order of few watts because of their higher complexity and speed.ALU is a fundamentalblock of CPU .It does all the processes which are related to all arithmetic and logical operation .As these all operations become more complex, more expensive and requires more space and contributes more power consumption ofthatALUis a prime concern while designing of CPU . Key Words: ALU, VHDL, Clock Gating, FPGA, Sparten3E 1. INTRODUCTION Here, main aim to obtain faster device performance and the optimization for lower power dissipation.Theideal designis the one which has less power, less area but it has highest device performance.But,theseparametersarecontradictory with each other .So, better solution has to be specified to maintain tradeoff between these all parameters . Now-a-days microprocessors, microcontrollers are designed to be operated at low power with a maximum speed and also in the portable devices, there is more necessity to improve battery life .ALU is themostcommonly used module in the CPU during the execution of the instruction . In this paper, using clock gating technique a 16 bit ALU is designed in VHDL language.Foroptimizationoflowerpower consumption .A carry skip adder is used as a primary element of the arithmetic unit .The design is stimulated in Isim simulator and finally in Xilinx Spartan 3E FPGA . Low Power ALU Design is based on application of clock gate to turn off the sub-module of ALU that is not in use by current executing instruction as decided by instruction decoder unit. According to, Clock Power consumes 50-70 percent of total chip power and will increase in the next coming generation of hardware designs at 32nm and below. Hence, reducing clock power is very important. Clock gating is a key power reduction technique used byhardware designers and is typically implemented by RTL-level HDL Simulator or gate level power analyser tools. ( Power = CL × Voltage × frequency ) In equation power is directly proportional to the squareof voltage and the frequency of the clock. 1.1 Dynamic power dissipation Dynamic power dissipation of CMOS circuit has two parts- dynamic switching power and short-circuit current power . Dynamic switching power is dissipated every time the logic state of the gate changes. It is represented as P = nfCLVdd2, where f is the frequency of switching, CL is the load capacitance, Vdd is supply voltage and n is the probability of switching. This power can be reduced by lowering switching frequency; however it is not desirable as it limits the speed of operation of thedevice.ncanbereduced by reducing redundant switching activity. Vdd can also be reduced, however it leads to increased propagation delays and hence not desirable. Henceapropertradeoffmustbemet between these parameters to obtain satisfactory device performance. Short circuit current power is dissipated when both the NMOSand CMOS MOSFETs are partiallyon,duringa switching activity. In this case a direct short circuit path is momentarily formed between power supply and ground, leading to significant power dissipation. This can be controlled by regulating the slew rate and applying sharp clock edges. However, generating such a clock is difficult. 1.2 Static power dissipation Static or quiescent power dissipation is independent of the switching activity of the circuit. This is caused due to leakage current in the device during steady state. Sub- threshold conduction isthereasonforthispowerdissipation and can be controlled by biasing the MOSFETs well below their threshold voltages and using multiple threshold CMOS designs. 1.3 Clock Gating Clock power constitutes a significant portion of dynamic power. In a synchronous circuit several modulesareclocked at the same time. However, at any particular instant only a
  • 2. International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395 -0056 Volume: 04 Issue: 3 | Mar -2017 www.irjet.net p-ISSN: 2395-0072 © 2017, IRJET | Impact Factor value: 5.181 | ISO 9001:2008 Certified Journal | Page 631 single module may be functional. Hence, unnecessary clocking of the other modules lead to a lot of power dissipation. Clock gating technique is a power down methodology, whichinvolvesselectivelyclockingmodulesas and when required while keeping other inactive modules in sleep mode. Thus the power dissipation due to charging and discharging of the clock at unused gates, is avoided in this strategy. Clock gating is achieved by ANDing the clock signal with a control signal to form a gated clock, which is then applied to different components of the circuit. To which module the gated clock should be applied is decided based on the control signal. 2. WORKING Fig 1: block diagram 2.1 Arithmetic unit Here it is designed to perform some arithmetic operations. Some operations are addition & subtraction. The core of arithmetic unit is a variable block length carry skip adder. The maximum combinational path delay(pad to pad) from carry input to carry output has been found to be 22.005 ns which is almost same as that of a ripple carry adder, however, the power dissipation is a little lower than the ripple carry adder. The effect of using carry skip adder with variable block length to minimize carry propagationdelayis more pronounced for higher number of bits. Each indivisual block is a ripple carry adder. The carry generated in each block enters the ripple carry logic along with carry generated in previous block. A bitwise XOR operation between operands is done with skip logic block &resultsare ANDed together to form the propagation bit. FIG 2: Structure of variable block length carry skip adder TABLE 1: Operations performed by the arithmetic unit based on s1, s0 & carry in 2.2 logic unit Operand A & B enter the logic unit through registers controlled by gated clock, like arithmetic unit giving it exclusively of operationonlywhenrequiredbyALU.The logic unit can perform four operations based on selection of s0 & s1. S0 S1 Carry in Operation 0 0 0 A+B 0 0 1 A+B+1 0 1 0 A+(not B) 0 1 1 A-B 1 0 0 A 1 0 1 A+1 1 1 0 A-1 1 1 1 A
  • 3. International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395 -0056 Volume: 04 Issue: 3 | Mar -2017 www.irjet.net p-ISSN: 2395-0072 © 2017, IRJET | Impact Factor value: 5.181 | ISO 9001:2008 Certified Journal | Page 632 S1 S0 operation 0 0 A AND B 0 1 A XOR B 1 0 A OR B 1 1 NOT B TABLE 2: Operations performed by the logic unit based on s1,s0 2.3CLOCK GATING CIRCUIT The clock gating circuit takes clock input&generatesa gated clock based on a control signal s2. The gated clock signal is used to activate arithmetic or logic unit. Preventing unnecessary charging & discharging of clock signal in inactive modules leads to lower dynamic power dissipation. FIG 3: CLOCK GATING CIRCUIT The master clock input is fed to circuit. When control signal input s2 is zero, clock is gated through second AND gate to arithmetic unit. Thus at a time only one gated clock outputis active. S2 ACTIVATION 0 Logic Unit 1 Arithmetic Unit TABLE 2: Signal S2 activating different units of ALU 2.4 Output multiplexer and register The computed outputs from the arithmetic and logic units are fed into the output multiplexer. The proper output is selected based on a control signal. This output isthensent to the output register which is clocked by either of the two gated clocks. FIG 4: Structure of output register & multiplexer When s2 is zero, the multiplexer output is taken from the logic unit and when s2 is one the output is taken from the arithmetic unit . the selected output is delivered via the output register clocked by either of the gated clocks. Operations are performed on 16-bit input operand values namely A and B. On the basis of the value of input opcode a particular operational logic block will be enabledtoperform the logic operation.The output of the logic operation is transferred to the output signal register .The operation by the ALU is performed on the edge of the input clock signal.Once the result value is generated, the next operation can be initialized only when the “Enable” input is given a logic high value followed by a logic low value.The registers and output can be reset to logic low values at any time of operation. 3.RESULT Maximum frequency 65.19 Mhz Total no. of 4 input LUT 107 Number of bonded IOBs 54 Number of occupied slices 94 4. ADVANTAGES, DISADVANTAGES AND APPLICATIONS 4.1 Advantages  Reduces dynamic power dissipation of ALU by approx. 66.7%.  Reduce carry propagation delay.  Increased performance for high no. of bits. 4.2 Disadvantages  Large Line Losses.  Poor voltage regulation.  Greater size. 4.3 Applications  Used in portable devices such as cell phone, laptop, computers.  Used as a multiplier and accumulator (MAC)inDSP.
  • 4. International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395 -0056 Volume: 04 Issue: 3 | Mar -2017 www.irjet.net p-ISSN: 2395-0072 © 2017, IRJET | Impact Factor value: 5.181 | ISO 9001:2008 Certified Journal | Page 633 5. CONCLUSION Power consumption in modern devices are a growing concern as demands for increased battery life ,lower heat dissipation and increased device reliability is on the rise. Power reduction deals with synthesis, design at circuit level and placement and routing stages, now movedtothe System Level and Register Transfer Level. This is possible due to clock gating which always switch off the inactive unit of design & reduce overall power consumption. 6. REFERENCES 1.Wikipedia“http://en.wikipedia.org/wiki” 2.Ankit Mitra,” Design and implementation of low power 16 bit ALU with clock gating” ISSN: 2278 – 1323 International Journal of Advanced Research in Computer Engineering & Technology (IJARCET) Volume 2, Issue 6, June 2013 3.www.google.com 4.Xilinx XST user guide. 5.M. Morris Mano, “Register transfer and Microoperations,” in Computer System Architecture, 3rd ed. Pearson, India, pp. 106- 118.