International Journal of Modern Engineering Research (IJMER) is Peer reviewed, online Journal. It serves as an international archival forum of scholarly research related to engineering and science education.
IJERA (International journal of Engineering Research and Applications) is International online, ... peer reviewed journal. For more detail or submit your article, please visit www.ijera.com
SIMULTANEOUS OPTIMIZATION OF STANDBY AND ACTIVE ENERGY FOR SUB-THRESHOLD CIRC...VLSICS Design
Increased downscaling of CMOS circuits with respect to feature size and threshold voltage has a result of dramatically increasing in leakage current. So, leakage power reduction is an important design issue for active and standby modes as long as the technology scaling increased. In this paper, a simultaneous active and standby energy optimization methodology is proposed for 22 nm sub-threshold CMOS circuits. In the first phase, we investigate the dual threshold voltage design for active energy per cycle minimization. A
slack based genetic algorithm is proposed to find the optimal reverse body bias assignment to set of noncritical
paths gates to ensure low active energy per cycle with the maximum allowable frequency at the optimal supply voltage. The second phase, determine the optimal reverse body bias that can be applied to all gates for standby power optimization at the optimal supply voltage determined from the first phase.
Therefore, there exist two sets of gates and two reverse body bias values for each set. The reverse body bias is switched between these two values in response to the mode of operation. Experimental results are obtained for some ISCAS-85 benchmark circuits such as 74L85, 74283, ALU74181, and 16 bit RCA. The optimized circuits show significant energy saving ranged (from 14.5% to 42.28%) and standby power
saving ranged (from 62.8% to 67%)
International Journal of Engineering Research and Applications (IJERA) is a team of researchers not publication services or private publications running the journals for monetary benefits, we are association of scientists and academia who focus only on supporting authors who want to publish their work. The articles published in our journal can be accessed online, all the articles will be archived for real time access.
Our journal system primarily aims to bring out the research talent and the works done by sciaentists, academia, engineers, practitioners, scholars, post graduate students of engineering and science. This journal aims to cover the scientific research in a broader sense and not publishing a niche area of research facilitating researchers from various verticals to publish their papers. It is also aimed to provide a platform for the researchers to publish in a shorter of time, enabling them to continue further All articles published are freely available to scientific researchers in the Government agencies,educators and the general public. We are taking serious efforts to promote our journal across the globe in various ways, we are sure that our journal will act as a scientific platform for all researchers to publish their works online.
Design of a Low Power Combinational Circuit by using Adiabatic LogicIJERA Editor
A novel low power and Positive Feedback Adiabatic Logic (PFAL) combinational low power circuit is presented in this paper. The power consumption and general characteristics of the PFAL combinationallow power circuit arethen compared against two combinational low power circuit Efficient Charge Recovery Logic (ECRL), Conventional CMOS. The proposed PFAL combinational low power circuit design was proven to be superior to the other two designs in power dissipation and area. The combination of low power and low transistor count makes the new PFAL cell a viable option for low power design.
Design and Implementation of Low Power 16-bit Carry-lookahead Adder using Adi...IRJET Journal
This document describes the design and implementation of a low power 16-bit carry-lookahead adder using two-phase clocked adiabatic static CMOS (2PASCL) logic. 2PASCL circuits can recover energy stored at output nodes during state transitions, providing power savings over conventional CMOS. The authors designed inverters, NAND, NOR, XOR gates and 4, 8, 16-bit carry-lookahead adders using 2PASCL logic in Cadence. Simulation results showed the 2PASCL designs consumed less power than equivalent static CMOS designs. Layouts were also produced for the 4, 8, 16-bit adders. Power analysis confirmed the 2PASCL adders achieved significant
This document discusses the design of an energy efficient full adder cell using double pass transistor asynchronous adiabatic logic (DPTAAL). It first provides background on asynchronous circuits and adiabatic logic for low power applications. It then describes an existing DPTAAL full adder cell design and proposes a new design that uses a carry save adder to reduce addition of 3 numbers to 2 numbers. The document concludes that the new asynchronous adiabatic full adder cell design consumes less energy than conventional logic designs for frequencies from 100-200MHz, confirming its feasibility for low power applications.
Designing Conservative Reversible N-Bit Binary Comparator for Emerging Quantu...VIT-AP University
The quantum-dot cellular automata (QCA) has more advantages than CMOS regarding area and power. This
work targets a conservative reversible comparator and its realization in QCA. In this work, we construct a
conservative reversible comparator with an optimal value of reversible metrics. In state to design, three conservative
reversible gates, namely PPC, PPNG-1 and PPNG-2 (PP = parity-preserving, C = comparator, N = new,
G = gate), are proposed. Furthermore, the quantum equivalent of 1-bit and 2-bit comparator is presented. The
proposed concept has been implemented through an algorithm for the n-bit comparator. Also, PPC workability
is tested in QCA. The PPC has been performing 1-bit comparator result with minimum area, cell complexity,
and latency, which is found to be 0.52 m2, 387 and 1 respectively. In addition, the complete energy dissipation
analysis to explore such as a thermal layout map of PPC is also presented in this paper. Further, average
energy dissipation v’s kink energy, maximum energy dissipation v’s kink energy, minimum energy dissipation
v’s kink energy and average output node polarization v’s temperature are provided in this paper. The proposed
comparator forwards an improved ratio of 33.33% in gate count and ranging from 27.27% to 29.35% in garbage
outputs than its counterparts circuits, which ensure more scalable.
A charge recycling three phase dual rail pre charge logic based flip-flopVLSICS Design
This document presents a charge recycling three-phase dual-rail pre-charge logic (CRTDPL) flip-flop design that aims to lower power consumption compared to traditional three-phase dual-rail pre-charge logic (TDPL). The CRTDPL inverter recycles charge stored at one output node during evaluation to partially charge the other output node in pre-charge, lowering power drawn from the supply. Simulation results show the CRTDPL inverter consumes up to 60% less power than a TDPL inverter. A CRTDPL flip-flop was also designed using two CRTDPL inverters and tested, showing around 50% lower power consumption than a TDPL flip
IJERA (International journal of Engineering Research and Applications) is International online, ... peer reviewed journal. For more detail or submit your article, please visit www.ijera.com
SIMULTANEOUS OPTIMIZATION OF STANDBY AND ACTIVE ENERGY FOR SUB-THRESHOLD CIRC...VLSICS Design
Increased downscaling of CMOS circuits with respect to feature size and threshold voltage has a result of dramatically increasing in leakage current. So, leakage power reduction is an important design issue for active and standby modes as long as the technology scaling increased. In this paper, a simultaneous active and standby energy optimization methodology is proposed for 22 nm sub-threshold CMOS circuits. In the first phase, we investigate the dual threshold voltage design for active energy per cycle minimization. A
slack based genetic algorithm is proposed to find the optimal reverse body bias assignment to set of noncritical
paths gates to ensure low active energy per cycle with the maximum allowable frequency at the optimal supply voltage. The second phase, determine the optimal reverse body bias that can be applied to all gates for standby power optimization at the optimal supply voltage determined from the first phase.
Therefore, there exist two sets of gates and two reverse body bias values for each set. The reverse body bias is switched between these two values in response to the mode of operation. Experimental results are obtained for some ISCAS-85 benchmark circuits such as 74L85, 74283, ALU74181, and 16 bit RCA. The optimized circuits show significant energy saving ranged (from 14.5% to 42.28%) and standby power
saving ranged (from 62.8% to 67%)
International Journal of Engineering Research and Applications (IJERA) is a team of researchers not publication services or private publications running the journals for monetary benefits, we are association of scientists and academia who focus only on supporting authors who want to publish their work. The articles published in our journal can be accessed online, all the articles will be archived for real time access.
Our journal system primarily aims to bring out the research talent and the works done by sciaentists, academia, engineers, practitioners, scholars, post graduate students of engineering and science. This journal aims to cover the scientific research in a broader sense and not publishing a niche area of research facilitating researchers from various verticals to publish their papers. It is also aimed to provide a platform for the researchers to publish in a shorter of time, enabling them to continue further All articles published are freely available to scientific researchers in the Government agencies,educators and the general public. We are taking serious efforts to promote our journal across the globe in various ways, we are sure that our journal will act as a scientific platform for all researchers to publish their works online.
Design of a Low Power Combinational Circuit by using Adiabatic LogicIJERA Editor
A novel low power and Positive Feedback Adiabatic Logic (PFAL) combinational low power circuit is presented in this paper. The power consumption and general characteristics of the PFAL combinationallow power circuit arethen compared against two combinational low power circuit Efficient Charge Recovery Logic (ECRL), Conventional CMOS. The proposed PFAL combinational low power circuit design was proven to be superior to the other two designs in power dissipation and area. The combination of low power and low transistor count makes the new PFAL cell a viable option for low power design.
Design and Implementation of Low Power 16-bit Carry-lookahead Adder using Adi...IRJET Journal
This document describes the design and implementation of a low power 16-bit carry-lookahead adder using two-phase clocked adiabatic static CMOS (2PASCL) logic. 2PASCL circuits can recover energy stored at output nodes during state transitions, providing power savings over conventional CMOS. The authors designed inverters, NAND, NOR, XOR gates and 4, 8, 16-bit carry-lookahead adders using 2PASCL logic in Cadence. Simulation results showed the 2PASCL designs consumed less power than equivalent static CMOS designs. Layouts were also produced for the 4, 8, 16-bit adders. Power analysis confirmed the 2PASCL adders achieved significant
This document discusses the design of an energy efficient full adder cell using double pass transistor asynchronous adiabatic logic (DPTAAL). It first provides background on asynchronous circuits and adiabatic logic for low power applications. It then describes an existing DPTAAL full adder cell design and proposes a new design that uses a carry save adder to reduce addition of 3 numbers to 2 numbers. The document concludes that the new asynchronous adiabatic full adder cell design consumes less energy than conventional logic designs for frequencies from 100-200MHz, confirming its feasibility for low power applications.
Designing Conservative Reversible N-Bit Binary Comparator for Emerging Quantu...VIT-AP University
The quantum-dot cellular automata (QCA) has more advantages than CMOS regarding area and power. This
work targets a conservative reversible comparator and its realization in QCA. In this work, we construct a
conservative reversible comparator with an optimal value of reversible metrics. In state to design, three conservative
reversible gates, namely PPC, PPNG-1 and PPNG-2 (PP = parity-preserving, C = comparator, N = new,
G = gate), are proposed. Furthermore, the quantum equivalent of 1-bit and 2-bit comparator is presented. The
proposed concept has been implemented through an algorithm for the n-bit comparator. Also, PPC workability
is tested in QCA. The PPC has been performing 1-bit comparator result with minimum area, cell complexity,
and latency, which is found to be 0.52 m2, 387 and 1 respectively. In addition, the complete energy dissipation
analysis to explore such as a thermal layout map of PPC is also presented in this paper. Further, average
energy dissipation v’s kink energy, maximum energy dissipation v’s kink energy, minimum energy dissipation
v’s kink energy and average output node polarization v’s temperature are provided in this paper. The proposed
comparator forwards an improved ratio of 33.33% in gate count and ranging from 27.27% to 29.35% in garbage
outputs than its counterparts circuits, which ensure more scalable.
A charge recycling three phase dual rail pre charge logic based flip-flopVLSICS Design
This document presents a charge recycling three-phase dual-rail pre-charge logic (CRTDPL) flip-flop design that aims to lower power consumption compared to traditional three-phase dual-rail pre-charge logic (TDPL). The CRTDPL inverter recycles charge stored at one output node during evaluation to partially charge the other output node in pre-charge, lowering power drawn from the supply. Simulation results show the CRTDPL inverter consumes up to 60% less power than a TDPL inverter. A CRTDPL flip-flop was also designed using two CRTDPL inverters and tested, showing around 50% lower power consumption than a TDPL flip
Quantum-dot Cellular Automata (QCA) is an alternative innovation to the
Complementary Metal Oxide Semiconductor (CMOS) because CMOS has scaling
limitations that lead to high leakage power. QCA is structured on quantum cells, whose
sizes are on the nanoscale. This component causes faults in QCA circuits. Converting
a code into another that is programmed in logic arrays becomes important in the
physical realization of the circuits. There are many methods to resolve this problem in
circuits. A code converter is a solution to convert one code into another. In this paper,
QCA-based “4-bit binary-to-gray” and “4-bit gray-to-binary code converters” are
suggested. The offered layout prospects to a decrease in energy expenditure and can
be utilized in many fields for shielding data from outsiders and increasing information
flexibility. We executed a relative analysis of the suggested design with present earlier
designs and turned out that the suggested layout is productive on condition that
complexity, cell count, area intake, and clocking. This paper offers a streamlined design
and layout concerning code converters depending on QCA. These structures are
designed with the QCADesigner, simulator and the simulation results are examined.
The document discusses the design of an energy efficient carry save adder using asynchronous adiabatic logic. It first discusses existing work on using double pass transistor logic with asynchronous adiabatic logic to design an energy efficient full adder cell. It then proposes using a carry save adder which can add 3 n-bit numbers using only 2 numbers of additions, reducing delay and improving performance. The document discusses the design of a static energy recovery full adder cell and use of double pass transistor logic to avoid signal degradation. It also provides background on asynchronous adiabatic logic which aims to reduce power dissipation by reusing stored energy.
Quantitative Modeling and Simulation of Single-Electron TransistorIRJET Journal
This document discusses quantitative modeling and simulation of the single-electron transistor (SET) using MATLAB Simulink. The SET is a nano-scaled transistor that operates using quantum tunneling of single electrons. The document describes the basic theory of quantum tunneling and Coulomb blockade in SETs. It then discusses modeling the SET using a master equation approach and simulating its DC characteristics such as current oscillations. Parameters like junction capacitance, gate capacitance, and temperature are varied to analyze their effect on SET characteristics.
DESIGN & ANALYSIS OF A CHARGE RE-CYCLE BASED NOVEL LPHS ADIABATIC LOGIC CIRCU...VLSICS Design
This paper focuses on principles of adiabatic logic, its classification and comparison of various adiabatic logic designs. An attempt has been made in this paper to modify 2PASCL (Two Phase Adiabatic Static CMOS Logic) adiabatic logic circuit to minimize delay of the different 2PASCL circuit designs. This modifications in the circuits leads to improvement of Power Delay Product (PDP) which is one of the figure of merit to optimize the circuit with factors like power dissipation and delay of the circuit. This paper investigates the design approaches of low power adiabatic gates in terms of energy dissipation and uses of Simple PN diode instead of MOS diode which reduces the effect of Capacitances at high transition and power clock frequency. A computer simulation using SPECTRE from Cadence is carried out on different adiabatic circuits, such as Inverter, NAND, NOR, XOR and 2:1 MUX.
IMPLEMENTATION OF LOW POWER ADIABATIC SRAMVLSICS Design
In the featuring VLSI era, compact electronic devices are popular. The reliability and durability of such compact devices relies on low power utilization. The purpose of this project was to implement a low power adiabatic Static Random Access Memory (SRAM), with the following objectives - To reduce the power waste by means of stepwise charging using tank capacitors which is an adiabatic way of generating power
clock. This method is capable of recuperating the electrical energy back to the source. Further to examine the Static Noise Margin (SNM) – a parameter which gives detailed information about the cell stability – in contrast with conventional 6T, 7T and 8T topologies of SRAM under 180 nm technology. Finally, SNM
variations with respect to process parameters are also discussed. All the implementations and analysis were made using CADENCE tool and MATLAB tool.
This document discusses strategies to achieve low power dissipation in integrated circuits. It discusses several techniques:
1) Reducing dynamic power by minimizing transistor sizes, lowering supply voltage, and optimizing manufacturing processes.
2) Reducing static power by lowering subthreshold leakage through multiple threshold voltages and separating logic into high and low power groups.
3) Introducing a new logic style called Energy Economized Pass Transistor Logic (EEPL) that provides reductions in power and delay compared to other pass transistor logic styles like CPL and SRPL through regenerative feedback.
4) EEPL has been shown to perform well in combinational and sequential circuits like multipliers and counters with advantages of lower energy consumption.
This document proposes a new mixed-style architecture for reducing power in multipliers. It combines a traditional Wallace tree-based part with a bypass array-based part. Simulations show the mixed architecture offers up to a 6.5x improvement in delay-power product compared to traditional array and Wallace tree multipliers. The mixed style exploits low power benefits of bypassing in arrays and performance benefits of Wallace trees.
IMPLEMENTATION OF LOW POWER ADIABATIC SRAMVLSICS Design
In the featuring VLSI era, compact electronic devices are popular. The reliability and durability of such compact devices relies on low power utilization. The purpose of this project was to implement a low power adiabatic Static Random Access Memory (SRAM), with the following objectives - To reduce the power waste by means of stepwise charging using tank capacitors which is an adiabatic way of generating power clock. This method is capable of recuperating the electrical energy back to the source. Further to examine the Static Noise Margin (SNM) – a parameter which gives detailed information about the cell stability – in contrast with conventional 6T, 7T and 8T topologies of SRAM under 180 nm technology. Finally, SNM variations with respect to process parameters are also discussed. All the implementations and analysis were made using CADENCE tool and MATLAB tool.
Energy Efficient Design of Multiplexer Using Adiabatic logicIJEEE
the increasing prominence of portable systems and the need to limit the power consumption in very high density VLSI chips have led to rapid and innovative developments in low power design during the recent years. The CMOS technology provides circuits with very low static power dissipation, during the switching operation currents are generated, due to the discharge of load capacitances that cause power dissipation increasing with the clock frequency. The adiabatic technique prevents such losses, the charge does not flow from the supply voltage to the load capacitance and then to ground, but it flows back to a trapezoidal or sinusoidal supply voltage and can be reused.In this paper a low 2:1 multiplexer is designed using positive feedback adiabatic logic. The design is simulated at .12µm technology using Microwind 3.1. Simulated results shows that proposed design saves 38% energy as compare to conventional CMOS design.
A LOW POWER BASED ASYNCHRONOUS CIRCUIT DESIGN USING POWER GATED LOGICMichael George
The implementation of a low power logic based asynchronous circuit with the help of power gated logic. In asynchronous power gated logic (APL) circuit, each pipeline stage was incorporated with efficient charge recovery logic (ECRL) gate; handshake controller and partial charge reuse (PCR) mechanism. The main objective was, to provide a new lower power solutions using power gating (PG) for very large scale integration (VLSI) designers. ECRL have the simplest structure and high energy efficiency which was used to implement the functional blocks of APL circuit. PG adopts two approaches, fine grain and coarse grain approach. The circuit based asynchronous with fine grain approach is called asynchronous fine grain power gated logic (AFPL) circuit and coarse grain approach is said to be asynchronous coarse grain power gated logic (ACPL) circuit. In the PCR mechanism, part of the charge on the output node of an ECRL gate was reused to charge the output node of another ECRL gate. This help to reducing the energy dissipation. Therefore, leakage power reduction should begin with power gated logic and PCR mechanism. To mitigate the area overhead of the AFPL circuit, coarse grain power gating technique have been developed.
DESIGNING AN EFFICIENT APPROACH FOR JK AND T FLIP-FLOP WITH POWER DISSIPATION...VLSICS Design
QCA (Quantum Dot Cellular Automata) is an emerging and pioneer technology, which is a paradigm for
computing with interacting quantum dots. Many eminent researchers have well thought of eloquent work in
the existing areas of the sequential circuit. However, this paper proclaims three new approaches to design
JK and T flip-flop. Since flip-flops and memory design are the crucial building blocks of digital circuits,
therefore we concern the underlying principle of fundamental design of JK and T flip-flop and then work
out to model the new structure favorable with the forthcoming excellence required. This new concept
places elsewhere the need of using feedback path in flip flop design. Also two algorithms have been shown
for explanatory purpose. The proposed structure is able to establish the validity and genuineness than
earlier design. By using the proposed T flip-flop, a 2-bit and 3-bit counter is also designed in the paper.
The simulation result of the proposed design proves their vigorousness and correctness in the output.
International Journal of Engineering Research and Applications (IJERA) is an open access online peer reviewed international journal that publishes research and review articles in the fields of Computer Science, Neural Networks, Electrical Engineering, Software Engineering, Information Technology, Mechanical Engineering, Chemical Engineering, Plastic Engineering, Food Technology, Textile Engineering, Nano Technology & science, Power Electronics, Electronics & Communication Engineering, Computational mathematics, Image processing, Civil Engineering, Structural Engineering, Environmental Engineering, VLSI Testing & Low Power VLSI Design etc.
Design and Implementation of Low Power Multiplier Using Proposed Two Phase Cl...IJECEIAES
This paper presents a design and implementation of 2*2 array and 4*4 array multiplier using proposed Two Phase Clocked Adiabatic Static CMOS logic (2PASCL) circuit. The proposed 2PASCL circuit is based on adiabatic energy recovery principle which consumes less power. The proposed 2PASCL uses two sinusoidal power clocks which are 180 0 phase shifted with each other. The measurement result of 2*2 array proposed 2PASCL multiplier gives 80.16 % and 97.67 %power reduction relative to reported 2PASCL and conventional CMOS logic and the measurement result of 4*4 array proposed 2PASCL multiplier demonstrate 32.88 % and 82.02 %power reduction compared to reported 2PASCL and conventional CMOS logic. Another advantage of the proposed circuit is that it gives less power though the number of transistors in proposed and reported 2PASCL circuit is same. From the result we conclude that proposed 2PASCL technology is advantageous to application in low power digital systems, pacemakers and sensors. The circuits are simulated at 180nm technology mode.
This document discusses four types of modifications that can be made to an existing power network to revise the Z-bus representation. Type 1 involves adding a branch impedance between a new bus and the reference bus. Type 2 adds a branch between a new bus and an existing bus. Type 3 adds a branch between an existing bus and the reference bus. Type 4 adds a branch between two existing buses. The document presents figures to illustrate each type and provides the corresponding equations to update the Z-bus matrix for the network.
This document presents a new dual dynamic node hybrid flip-flop (DDFF) and embedded logic module (DDFF-ELM) designed to reduce power consumption in VLSI circuits. The DDFF splits the dynamic node to separately drive the pull-up and pull-down transistors, eliminating large capacitance. The DDFF-ELM incorporates logic functions into the flip-flop efficiently to reduce pipeline overhead. Simulation results in a 90nm technology show the proposed designs achieve 26% power reduction compared to other flip-flops, with no degradation in speed and comparable area.
The document discusses the parallel RLC circuit and its response over time. It examines the circuit's behavior under different conditions such as being overdamped, critically damped, and underdamped. Graphs and equations are presented showing the voltage response of RLC circuits under these conditions. Examples are also provided of determining the current and voltage outputs of various RLC circuits over time.
This document summarizes an adaptive fuzzy logic power filter for nonlinear systems. It proposes using a Takagi-Sugeno fuzzy logic controller (FLC) to control a three-phase shunt active power filter (SAPF) to compensate for harmonic distortion and power quality issues caused by nonlinear loads. The FLC generates reference compensation currents and maintains the SAPF DC capacitor voltage. It is compared to a conventional PI controller, with the FLC showing better robustness to load and system parameter changes. The document describes the instantaneous reactive power theory used to estimate compensation currents, the design of the Takagi-Sugeno FLC, and an adaptive hysteresis current control method to generate switching signals for the SAPF
Implementation of Area Effective Carry Select AddersKumar Goud
Abstract: In the design of Integrated circuit area occupancy plays a vital role because of increasing the necessity of portable systems. Carry Select Adder (CSLA) is a fast adder used in data processing processors for performing fast arithmetic functions. From the structure of the CSLA, the scope is reducing the area of CSLA based on the efficient gate-level modification. In this paper 16 bit, 32 bit, 64 bit and 128 bit Regular Linear CSLA, Modified Linear CSLA, Regular Square-root CSLA (SQRT CSLA) and Modified SQRT CSLA architectures have been developed and compared. However, the Regular CSLA is still area-consuming due to the dual Ripple-Carry Adder (RCA) structure. For reducing area, the CSLA can be implemented by using a single RCA and an add-one circuit instead of using dual RCA. Comparing the Regular Linear CSLA with Regular SQRT CSLA, the Regular SQRT CSLA has reduced area as well as comparing the Modified Linear CSLA with Modified SQRT CSLA; the Modified SQRT CSLA has reduced area. The results and analysis show that the Modified Linear CSLA and Modified SQRT CSLA provide better outcomes than the Regular Linear CSLA and Regular SQRT CSLA respectively. This project was aimed for implementing high performance optimized FPGA architecture. Modelsim 10.0c is used for simulating the CSLA and synthesized using Xilinx PlanAhead13.4. Then the implementation is done in Virtex5 FPGA Kit.
Keywords: Field Programmable Gate Array (FPGA), efficient, Carry Select Adder (CSLA), Square-root CSLA (SQRTCSLA).
This document discusses low power VLSI design. It defines power dissipation as being either static, from leakage current, or dynamic, from transistor switching activities. The key strategies for low power design are reducing supply voltage, physical capacitance, and switching activity. Specific techniques mentioned include clock gating, power gating, reducing chip capacitance, scaling voltage, better design methods, and power management. The document also discusses calculating and minimizing switching activity and using CAD tools at different design levels.
Low power VLSI design has become an important discipline due to increasing device densities, operating frequencies, and proliferation of portable electronics. Power dissipation, which was previously neglected, is now a primary design constraint. There are several sources of power dissipation in CMOS circuits, including switching power due to charging and discharging capacitances, short-circuit power during signal transitions, and leakage power from subthreshold and gate leakage currents. Designers have some control over power consumption by optimizing factors such as activity levels, clock frequency, supply voltage, transistor sizing and architecture.
This document discusses various low power techniques for integrated circuits. It begins by describing the increasing challenges of power consumption as device densities and clock frequencies increase while supply voltages and threshold voltages decrease. It then discusses different types of power consumption, including dynamic power, static power, leakage power from different sources, and how they can be reduced. The document covers many low power design techniques like multi-threshold CMOS, clock gating, multi-voltage, DVFS, and more. It discusses the evolution of these techniques and challenges in their implementation like timing issues, level shifters, and floorplanning for multi-voltage designs.
Duet advancement of new technology in the field of VLSI and Embedded system, there is an increasing demand of high speed and low power consumption processor. Speed of processor greatly depends on its multiplier as well as adder performance. Due to which high speed adder architecture become important. Sever a ladder architecture designs have been developed to increase the efficiency of the adder. In this paper, we introduce an architecture that performs high speed modified carry select adder using boot hen coder (BEC) Technique. Booth encoder, Mathematics is an ancient Indian system of Mathematics. Here we are introduced two carry select based design. These designs are implementation Xilinx Vertex device family.
Quantum-dot Cellular Automata (QCA) is an alternative innovation to the
Complementary Metal Oxide Semiconductor (CMOS) because CMOS has scaling
limitations that lead to high leakage power. QCA is structured on quantum cells, whose
sizes are on the nanoscale. This component causes faults in QCA circuits. Converting
a code into another that is programmed in logic arrays becomes important in the
physical realization of the circuits. There are many methods to resolve this problem in
circuits. A code converter is a solution to convert one code into another. In this paper,
QCA-based “4-bit binary-to-gray” and “4-bit gray-to-binary code converters” are
suggested. The offered layout prospects to a decrease in energy expenditure and can
be utilized in many fields for shielding data from outsiders and increasing information
flexibility. We executed a relative analysis of the suggested design with present earlier
designs and turned out that the suggested layout is productive on condition that
complexity, cell count, area intake, and clocking. This paper offers a streamlined design
and layout concerning code converters depending on QCA. These structures are
designed with the QCADesigner, simulator and the simulation results are examined.
The document discusses the design of an energy efficient carry save adder using asynchronous adiabatic logic. It first discusses existing work on using double pass transistor logic with asynchronous adiabatic logic to design an energy efficient full adder cell. It then proposes using a carry save adder which can add 3 n-bit numbers using only 2 numbers of additions, reducing delay and improving performance. The document discusses the design of a static energy recovery full adder cell and use of double pass transistor logic to avoid signal degradation. It also provides background on asynchronous adiabatic logic which aims to reduce power dissipation by reusing stored energy.
Quantitative Modeling and Simulation of Single-Electron TransistorIRJET Journal
This document discusses quantitative modeling and simulation of the single-electron transistor (SET) using MATLAB Simulink. The SET is a nano-scaled transistor that operates using quantum tunneling of single electrons. The document describes the basic theory of quantum tunneling and Coulomb blockade in SETs. It then discusses modeling the SET using a master equation approach and simulating its DC characteristics such as current oscillations. Parameters like junction capacitance, gate capacitance, and temperature are varied to analyze their effect on SET characteristics.
DESIGN & ANALYSIS OF A CHARGE RE-CYCLE BASED NOVEL LPHS ADIABATIC LOGIC CIRCU...VLSICS Design
This paper focuses on principles of adiabatic logic, its classification and comparison of various adiabatic logic designs. An attempt has been made in this paper to modify 2PASCL (Two Phase Adiabatic Static CMOS Logic) adiabatic logic circuit to minimize delay of the different 2PASCL circuit designs. This modifications in the circuits leads to improvement of Power Delay Product (PDP) which is one of the figure of merit to optimize the circuit with factors like power dissipation and delay of the circuit. This paper investigates the design approaches of low power adiabatic gates in terms of energy dissipation and uses of Simple PN diode instead of MOS diode which reduces the effect of Capacitances at high transition and power clock frequency. A computer simulation using SPECTRE from Cadence is carried out on different adiabatic circuits, such as Inverter, NAND, NOR, XOR and 2:1 MUX.
IMPLEMENTATION OF LOW POWER ADIABATIC SRAMVLSICS Design
In the featuring VLSI era, compact electronic devices are popular. The reliability and durability of such compact devices relies on low power utilization. The purpose of this project was to implement a low power adiabatic Static Random Access Memory (SRAM), with the following objectives - To reduce the power waste by means of stepwise charging using tank capacitors which is an adiabatic way of generating power
clock. This method is capable of recuperating the electrical energy back to the source. Further to examine the Static Noise Margin (SNM) – a parameter which gives detailed information about the cell stability – in contrast with conventional 6T, 7T and 8T topologies of SRAM under 180 nm technology. Finally, SNM
variations with respect to process parameters are also discussed. All the implementations and analysis were made using CADENCE tool and MATLAB tool.
This document discusses strategies to achieve low power dissipation in integrated circuits. It discusses several techniques:
1) Reducing dynamic power by minimizing transistor sizes, lowering supply voltage, and optimizing manufacturing processes.
2) Reducing static power by lowering subthreshold leakage through multiple threshold voltages and separating logic into high and low power groups.
3) Introducing a new logic style called Energy Economized Pass Transistor Logic (EEPL) that provides reductions in power and delay compared to other pass transistor logic styles like CPL and SRPL through regenerative feedback.
4) EEPL has been shown to perform well in combinational and sequential circuits like multipliers and counters with advantages of lower energy consumption.
This document proposes a new mixed-style architecture for reducing power in multipliers. It combines a traditional Wallace tree-based part with a bypass array-based part. Simulations show the mixed architecture offers up to a 6.5x improvement in delay-power product compared to traditional array and Wallace tree multipliers. The mixed style exploits low power benefits of bypassing in arrays and performance benefits of Wallace trees.
IMPLEMENTATION OF LOW POWER ADIABATIC SRAMVLSICS Design
In the featuring VLSI era, compact electronic devices are popular. The reliability and durability of such compact devices relies on low power utilization. The purpose of this project was to implement a low power adiabatic Static Random Access Memory (SRAM), with the following objectives - To reduce the power waste by means of stepwise charging using tank capacitors which is an adiabatic way of generating power clock. This method is capable of recuperating the electrical energy back to the source. Further to examine the Static Noise Margin (SNM) – a parameter which gives detailed information about the cell stability – in contrast with conventional 6T, 7T and 8T topologies of SRAM under 180 nm technology. Finally, SNM variations with respect to process parameters are also discussed. All the implementations and analysis were made using CADENCE tool and MATLAB tool.
Energy Efficient Design of Multiplexer Using Adiabatic logicIJEEE
the increasing prominence of portable systems and the need to limit the power consumption in very high density VLSI chips have led to rapid and innovative developments in low power design during the recent years. The CMOS technology provides circuits with very low static power dissipation, during the switching operation currents are generated, due to the discharge of load capacitances that cause power dissipation increasing with the clock frequency. The adiabatic technique prevents such losses, the charge does not flow from the supply voltage to the load capacitance and then to ground, but it flows back to a trapezoidal or sinusoidal supply voltage and can be reused.In this paper a low 2:1 multiplexer is designed using positive feedback adiabatic logic. The design is simulated at .12µm technology using Microwind 3.1. Simulated results shows that proposed design saves 38% energy as compare to conventional CMOS design.
A LOW POWER BASED ASYNCHRONOUS CIRCUIT DESIGN USING POWER GATED LOGICMichael George
The implementation of a low power logic based asynchronous circuit with the help of power gated logic. In asynchronous power gated logic (APL) circuit, each pipeline stage was incorporated with efficient charge recovery logic (ECRL) gate; handshake controller and partial charge reuse (PCR) mechanism. The main objective was, to provide a new lower power solutions using power gating (PG) for very large scale integration (VLSI) designers. ECRL have the simplest structure and high energy efficiency which was used to implement the functional blocks of APL circuit. PG adopts two approaches, fine grain and coarse grain approach. The circuit based asynchronous with fine grain approach is called asynchronous fine grain power gated logic (AFPL) circuit and coarse grain approach is said to be asynchronous coarse grain power gated logic (ACPL) circuit. In the PCR mechanism, part of the charge on the output node of an ECRL gate was reused to charge the output node of another ECRL gate. This help to reducing the energy dissipation. Therefore, leakage power reduction should begin with power gated logic and PCR mechanism. To mitigate the area overhead of the AFPL circuit, coarse grain power gating technique have been developed.
DESIGNING AN EFFICIENT APPROACH FOR JK AND T FLIP-FLOP WITH POWER DISSIPATION...VLSICS Design
QCA (Quantum Dot Cellular Automata) is an emerging and pioneer technology, which is a paradigm for
computing with interacting quantum dots. Many eminent researchers have well thought of eloquent work in
the existing areas of the sequential circuit. However, this paper proclaims three new approaches to design
JK and T flip-flop. Since flip-flops and memory design are the crucial building blocks of digital circuits,
therefore we concern the underlying principle of fundamental design of JK and T flip-flop and then work
out to model the new structure favorable with the forthcoming excellence required. This new concept
places elsewhere the need of using feedback path in flip flop design. Also two algorithms have been shown
for explanatory purpose. The proposed structure is able to establish the validity and genuineness than
earlier design. By using the proposed T flip-flop, a 2-bit and 3-bit counter is also designed in the paper.
The simulation result of the proposed design proves their vigorousness and correctness in the output.
International Journal of Engineering Research and Applications (IJERA) is an open access online peer reviewed international journal that publishes research and review articles in the fields of Computer Science, Neural Networks, Electrical Engineering, Software Engineering, Information Technology, Mechanical Engineering, Chemical Engineering, Plastic Engineering, Food Technology, Textile Engineering, Nano Technology & science, Power Electronics, Electronics & Communication Engineering, Computational mathematics, Image processing, Civil Engineering, Structural Engineering, Environmental Engineering, VLSI Testing & Low Power VLSI Design etc.
Design and Implementation of Low Power Multiplier Using Proposed Two Phase Cl...IJECEIAES
This paper presents a design and implementation of 2*2 array and 4*4 array multiplier using proposed Two Phase Clocked Adiabatic Static CMOS logic (2PASCL) circuit. The proposed 2PASCL circuit is based on adiabatic energy recovery principle which consumes less power. The proposed 2PASCL uses two sinusoidal power clocks which are 180 0 phase shifted with each other. The measurement result of 2*2 array proposed 2PASCL multiplier gives 80.16 % and 97.67 %power reduction relative to reported 2PASCL and conventional CMOS logic and the measurement result of 4*4 array proposed 2PASCL multiplier demonstrate 32.88 % and 82.02 %power reduction compared to reported 2PASCL and conventional CMOS logic. Another advantage of the proposed circuit is that it gives less power though the number of transistors in proposed and reported 2PASCL circuit is same. From the result we conclude that proposed 2PASCL technology is advantageous to application in low power digital systems, pacemakers and sensors. The circuits are simulated at 180nm technology mode.
This document discusses four types of modifications that can be made to an existing power network to revise the Z-bus representation. Type 1 involves adding a branch impedance between a new bus and the reference bus. Type 2 adds a branch between a new bus and an existing bus. Type 3 adds a branch between an existing bus and the reference bus. Type 4 adds a branch between two existing buses. The document presents figures to illustrate each type and provides the corresponding equations to update the Z-bus matrix for the network.
This document presents a new dual dynamic node hybrid flip-flop (DDFF) and embedded logic module (DDFF-ELM) designed to reduce power consumption in VLSI circuits. The DDFF splits the dynamic node to separately drive the pull-up and pull-down transistors, eliminating large capacitance. The DDFF-ELM incorporates logic functions into the flip-flop efficiently to reduce pipeline overhead. Simulation results in a 90nm technology show the proposed designs achieve 26% power reduction compared to other flip-flops, with no degradation in speed and comparable area.
The document discusses the parallel RLC circuit and its response over time. It examines the circuit's behavior under different conditions such as being overdamped, critically damped, and underdamped. Graphs and equations are presented showing the voltage response of RLC circuits under these conditions. Examples are also provided of determining the current and voltage outputs of various RLC circuits over time.
This document summarizes an adaptive fuzzy logic power filter for nonlinear systems. It proposes using a Takagi-Sugeno fuzzy logic controller (FLC) to control a three-phase shunt active power filter (SAPF) to compensate for harmonic distortion and power quality issues caused by nonlinear loads. The FLC generates reference compensation currents and maintains the SAPF DC capacitor voltage. It is compared to a conventional PI controller, with the FLC showing better robustness to load and system parameter changes. The document describes the instantaneous reactive power theory used to estimate compensation currents, the design of the Takagi-Sugeno FLC, and an adaptive hysteresis current control method to generate switching signals for the SAPF
Implementation of Area Effective Carry Select AddersKumar Goud
Abstract: In the design of Integrated circuit area occupancy plays a vital role because of increasing the necessity of portable systems. Carry Select Adder (CSLA) is a fast adder used in data processing processors for performing fast arithmetic functions. From the structure of the CSLA, the scope is reducing the area of CSLA based on the efficient gate-level modification. In this paper 16 bit, 32 bit, 64 bit and 128 bit Regular Linear CSLA, Modified Linear CSLA, Regular Square-root CSLA (SQRT CSLA) and Modified SQRT CSLA architectures have been developed and compared. However, the Regular CSLA is still area-consuming due to the dual Ripple-Carry Adder (RCA) structure. For reducing area, the CSLA can be implemented by using a single RCA and an add-one circuit instead of using dual RCA. Comparing the Regular Linear CSLA with Regular SQRT CSLA, the Regular SQRT CSLA has reduced area as well as comparing the Modified Linear CSLA with Modified SQRT CSLA; the Modified SQRT CSLA has reduced area. The results and analysis show that the Modified Linear CSLA and Modified SQRT CSLA provide better outcomes than the Regular Linear CSLA and Regular SQRT CSLA respectively. This project was aimed for implementing high performance optimized FPGA architecture. Modelsim 10.0c is used for simulating the CSLA and synthesized using Xilinx PlanAhead13.4. Then the implementation is done in Virtex5 FPGA Kit.
Keywords: Field Programmable Gate Array (FPGA), efficient, Carry Select Adder (CSLA), Square-root CSLA (SQRTCSLA).
This document discusses low power VLSI design. It defines power dissipation as being either static, from leakage current, or dynamic, from transistor switching activities. The key strategies for low power design are reducing supply voltage, physical capacitance, and switching activity. Specific techniques mentioned include clock gating, power gating, reducing chip capacitance, scaling voltage, better design methods, and power management. The document also discusses calculating and minimizing switching activity and using CAD tools at different design levels.
Low power VLSI design has become an important discipline due to increasing device densities, operating frequencies, and proliferation of portable electronics. Power dissipation, which was previously neglected, is now a primary design constraint. There are several sources of power dissipation in CMOS circuits, including switching power due to charging and discharging capacitances, short-circuit power during signal transitions, and leakage power from subthreshold and gate leakage currents. Designers have some control over power consumption by optimizing factors such as activity levels, clock frequency, supply voltage, transistor sizing and architecture.
This document discusses various low power techniques for integrated circuits. It begins by describing the increasing challenges of power consumption as device densities and clock frequencies increase while supply voltages and threshold voltages decrease. It then discusses different types of power consumption, including dynamic power, static power, leakage power from different sources, and how they can be reduced. The document covers many low power design techniques like multi-threshold CMOS, clock gating, multi-voltage, DVFS, and more. It discusses the evolution of these techniques and challenges in their implementation like timing issues, level shifters, and floorplanning for multi-voltage designs.
Duet advancement of new technology in the field of VLSI and Embedded system, there is an increasing demand of high speed and low power consumption processor. Speed of processor greatly depends on its multiplier as well as adder performance. Due to which high speed adder architecture become important. Sever a ladder architecture designs have been developed to increase the efficiency of the adder. In this paper, we introduce an architecture that performs high speed modified carry select adder using boot hen coder (BEC) Technique. Booth encoder, Mathematics is an ancient Indian system of Mathematics. Here we are introduced two carry select based design. These designs are implementation Xilinx Vertex device family.
Design and Implementation of combinational circuits in different low power lo...iosrjce
IOSR journal of VLSI and Signal Processing (IOSRJVSP) is a double blind peer reviewed International Journal that publishes articles which contribute new results in all areas of VLSI Design & Signal Processing. The goal of this journal is to bring together researchers and practitioners from academia and industry to focus on advanced VLSI Design & Signal Processing concepts and establishing new collaborations in these areas.Design and realization of microelectronic systems using VLSI/ULSI technologies require close collaboration among scientists and engineers in the fields of systems architecture, logic and circuit design, chips and wafer fabrication, packaging, testing and systems applications. Generation of specifications, design and verification must be performed at all abstraction levels, including the system, register-transfer, logic, circuit, transistor and process levels.
This document discusses adiabatic deposition and surface modification techniques using pulsed energy beams.
1) Adiabatic processes use pulse durations shorter than the thermalization time so that energy is deposited into the material faster than it can conduct away as heat. This allows modifying properties without significantly changing temperature.
2) An example is shown where an electron beam causes localized heating allowing re-crystallization of semiconductor and superconductor materials.
3) Applications include depositing thin films and coatings using ion or electron beams as well as mixing deposited layers with the substrate through ion bombardment.
Low Power VLSI Design Presentation_finalJITENDER -
This document discusses low power VLSI design techniques. It describes sources of power dissipation such as dynamic power from switching and static leakage power. It then discusses several approaches to reduce power consumption, including supply voltage scaling, minimizing switching capacitance through techniques like clock gating, and minimizing leakage through multi-threshold CMOS and power gating. The need for a power intent language to describe low power constructs is also discussed. Finally, it mentions low power EDA tools that can reduce power through techniques like clock gating and low power placement.
Low Power VLSI design architecture for EDA (Electronic Design Automation) and Modern Power Estimation, Reduction and Fixing technologies including clock gating and power gating
innovative railway track surveying with sensors and controlled by wireless co...kalaimathi mathiyazhagan
This document describes a proposed multi-sensor railway track geometry surveying system. The system uses MEMS, ultrasonic, and GPS sensors on a robot to detect cracks and obstacles on railway tracks and bridges in real-time. When issues are detected, the GPS location is recorded and an SMS is sent to authorities via GSM. Trains approaching will also receive a wireless message to slow down. A microcontroller controls gate closures to prevent accidents. The low-cost system aims to improve safety by allowing for faster response times over existing manual inspection methods.
Project report for railway security monotorin systemASWATHY VG
The document discusses railway safety and proposes a railway security monitoring system using vibration sensors and ZigBee technology. It begins with background on railway accidents and the need to improve safety. The existing signaling system relies on human communication, leading to errors. The proposed system uses vibration sensors on trains to detect collisions or derailment. It uses ZigBee for two-way communication between trains and control centers to automatically control railway gates and avert accidents in real-time. The system is expected to improve safety at a low cost without replacing existing infrastructure.
Free Electronics Projects Circuits and their ApplicationsElectronics Hub
This presentation includes about 10 free electronics projects circuits which are having high demand in present generation. These are mainly helpful for engineering students to get some idea about the projects. We have more than 45 electronics projects circuits in our blog. If anybody interested, then visit http://www.electronicshub.org/mini-projects/
Cost Analysis of Small Scale Solar and Wind Energy SystemsIJMER
Abstract: The recent dramatic increase in the use of
renewable energy systems leading towards competitive
markets within the various individual renewable energy
systems. The aim of this paper is to prove the hypothesis
i.e. in next few years, when the cost of the solar PV
modules come down below 1$ per Watt, small wind
turbines become more costlier because of the cost of
structures required to support the wind generator. And
also if the threat from the market is not addressed both
technically and commercially, small wind turbine
manufactures will lose the competition to solar PV module
manufactures in the near visible future. Objective of this
paper is to do cost analysis on the industry data and prove
hypothesis and to arrive at the cutoff point, where after the
generating energy from the wind is not economically
feasible. With this cost analysis, author here by alarm for
the small scale wind turbine manufactures to take
necessary measures to survive the competitive markets of
small scale renewable energy systems.
Keywords: Renewable energy, Solar PV, Wind Turbine, Curve Fitting, Cost analysis.
This document analyzes capacity utilization at a cold forging organization in Bangalore, India. It examines machine data and utilization charts from February for various operations like annealing and phosphating. The data is analyzed on a weekly basis and inferences are made about factors affecting capacity like power cuts, maintenance issues, and labor shortages. Suggestions are provided like installing generators, improving labor scheduling, and expanding phosphating capacity. The document concludes there is scope for automating processes and conducting longer-term cost analyses to further optimize capacity utilization.
Moving is a stressful process, but taking some simple steps can help make it easier. Start by decluttering your home a month in advance to determine what you want to keep, donate, or toss. Then create a detailed moving checklist and timeline to stay organized as you pack up boxes, arrange transportation, change your address, and unpack at your new home. Finally, don't forget to pack essential items separately so you have access to them during the move.
This document appears to be a study guide for an ACC 349 final exam, listing 42 multiple choice questions covering topics related to managerial and cost accounting. The questions assess understanding of concepts like factory overhead application, manufacturing overhead allocation, job order and process costing systems, activity-based costing, standard costs, budgeting, and cost-volume-profit analysis.
The document investigates cotton seed oil and neem methyl esters as biodiesel fuels in a CI engine. Cotton seed oil and neem oil were converted to methyl esters through a transesterification process. Various blends of the cotton seed and neem methyl esters with diesel were tested in a single cylinder diesel engine. Test results showed that the C20 blend, which is 20% cotton seed methyl ester and 80% diesel, had performance closest to diesel. Emissions and smoke were also lower for the biodiesel blends compared to pure diesel. Overall, the study found that cotton seed methyl ester provided better engine performance than neem methyl ester and that the C20 blend is a
Geotechnical Investigation of Soils: A Case Study of Gombe Town (Sheet 152NW)...IJMER
1. The document summarizes a study of the geotechnical properties of soils in Gombe town, Nigeria.
2. Samples were collected from 12 locations and tested for properties like moisture content, particle size, liquid limit, and compaction.
3. Based on the test results, soils from Pantame, Hamatatu, Tonde, Chongo and Kulalum were found to be clayey and unsuitable for construction, while soils from other areas like Kalshingi forest and Titi baba contained more sand and would make better subgrades.
A Novel Approach for User Search Results Using Feedback SessionsIJMER
This document proposes a novel approach to improve user search results using feedback sessions. It first clusters feedback sessions containing clicked and unclicked URLs using the Fuzzy c-means clustering algorithm. It then generates "pseudo-documents" to represent each feedback session cluster. This reflects the information needs of users within each cluster. It evaluates the performance of restructured search results using a "Classified Average Precision" metric. The key steps are: 1) Clustering feedback sessions with Fuzzy c-means, 2) Creating pseudo-documents for each cluster, 3) Evaluating results using Classified Average Precision. Fuzzy c-means allows URLs to belong to multiple clusters, reflecting uncertain user needs.
Optimization of Bolted Joints for Aircraft Engine Using Genetic AlgorithmsIJMER
Genetic Algorithms mimic the evolving technique of nature to better fit populations to a certain environment. Despite this technique has proved its adequacy in several fields, its application in Aerospace is still limited, mostly because of the high quantity of acceptability criteria that the design
must pass and the amount of design parameters. The presented paper explores required GA architecture’s adaptations to be applied in highly restricted systems such as those commonly found in Aerospace applications. The proposed GA was applied to the design of an Aircraft Engine’s Axial Casing bolted joint following static strength restrictions as per FAR 33 regulations. The set of Elitism,
interdependent geometric restrictions, Crossing, and Reproduction modules proved the applicability of
the presented multi-objective GA architecture under 14 restrictions for normal, limit and ultimate loads.
As it is described, the conversion is quickly achieved due to the shortage of the search space; therefore a
modified Variable Crossing per Scheme is proposed to expand the diversity of the genome to compensate
the relatively low impact of the Mutation module. Finally, the process and solutions found were compared against the traditional design process, showing the feasibility of this technique in complex applications in terms of quality of the solution and developing time.
A boy sees a smiling fish and decides to take it home. The fish continues to smile at the boy when he talks, watches TV, and showers. The boy grows fond of the fish but later finds it floating away, so he follows it into the forest and sea. Realizing the fish belongs in the ocean, the boy sadly says goodbye and returns it to the sea, where it will be happier.
SIMULTANEOUS OPTIMIZATION OF STANDBY AND ACTIVE ENERGY FOR SUB-THRESHOLD CIRC...VLSICS Design
Increased downscaling of CMOS circuits with respect to feature size and threshold voltage has a result of
dramatically increasing in leakage current. So, leakage power reduction is an important design issue for
active and standby modes as long as the technology scaling increased. In this paper, a simultaneous active
and standby energy optimization methodology is proposed for 22 nm sub-threshold CMOS circuits. In the
first phase, we investigate the dual threshold voltage design for active energy per cycle minimization. A
slack based genetic algorithm is proposed to find the optimal reverse body bias assignment to set of noncritical
paths gates to ensure low active energy per cycle with the maximum allowable frequency at the
optimal supply voltage. The second phase, determine the optimal reverse body bias that can be applied to
all gates for standby power optimization at the optimal supply voltage determined from the first phase.
Therefore, there exist two sets of gates and two reverse body bias values for each set. The reverse body bias
is switched between these two values in response to the mode of operation. Experimental results are
obtained for some ISCAS-85 benchmark circuits such as 74L85, 74283, ALU74181, and 16 bit RCA. The
optimized circuits show significant energy saving ranged (from 14.5% to 42.28%) and standby power
saving ranged (from 62.8% to 67%).
SIMULTANEOUS OPTIMIZATION OF STANDBY AND ACTIVE ENERGY FOR SUB-THRESHOLD CIRC...VLSICS Design
Increased downscaling of CMOS circuits with respect to feature size and threshold voltage has a result of dramatically increasing in leakage current. So, leakage power eduction is an important design issue for active and standby modes as long as the technology scaling increased. In this paper, a simultaneous active and standbyrgy optimization methodology is proposed for 22 nm sub-threshold CMOS circuits. In thefirst phase, we investigate the dual threshold voltage design for active energy per cycle minimization. A slack based genetic algorithm is proposed to find the optimal reverse body bias assignment to set of noncritical paths gates to ensure low active energy per cycle with the maximum allowable frequency at the optimal supply voltage. The second phase, determine the optimal reverse body bias that can be applied to
all gates for standby power optimization at the optimal supply voltage determined from the first phase. Therefore, there exist two sets of gates and two reverse body bias values for each set. The reverse body bias is switched between these two values in response to the mode of operation. Experimental results are obtained for some ISCAS-85 benchmark circuits such as 74L85, 74283, ALU74181, and 16 bit RCA. The optimized circuits show significant energy saving ranged (from 14.5% to 42.28%) and standby power saving ranged (from 62.8% to 67%).
International Journal of Engineering Research and Applications (IJERA) is an open access online peer reviewed international journal that publishes research and review articles in the fields of Computer Science, Neural Networks, Electrical Engineering, Software Engineering, Information Technology, Mechanical Engineering, Chemical Engineering, Plastic Engineering, Food Technology, Textile Engineering, Nano Technology & science, Power Electronics, Electronics & Communication Engineering, Computational mathematics, Image processing, Civil Engineering, Structural Engineering, Environmental Engineering, VLSI Testing & Low Power VLSI Design etc.
Energy Storage Systems – Grid Connection Using SynchronvertersGal Barzilai
This document summarizes a research project investigating energy storage systems connected to the electric grid using synchronverters. It discusses using lithium-ion batteries for storage, dual active bridges for DC-DC conversion, and a synchronverter to transfer energy between the DC bus and utility grid. Simulation results are presented for the synchronverter control algorithm and dual active bridge converter control to regulate voltage and respond to load changes. The goal of the research is to develop an experimental small-scale energy storage system demonstrating the key components and control approaches for integrating storage to provide grid services while maximizing economic benefits.
SIMULTANEOUS OPTIMIZATION OF STANDBY AND ACTIVE ENERGY FOR SUB-THRESHOLD CIRC...VLSICS Design
Increased downscaling of CMOS circuits with respect to feature size and threshold voltage has a result of
dramatically increasing in leakage current. So, leakage power reduction is an important design issue for
active and standby modes as long as the technology scaling increased. In this paper, a simultaneous active
and standby energy optimization methodology is proposed for 22 nm sub-threshold CMOS circuits. In the
first phase, we investigate the dual threshold voltage design for active energy per cycle minimization. A
slack based genetic algorithm is proposed to find the optimal reverse body bias assignment to set of noncritical paths gates to ensure low active energy per cycle with the maximum allowable frequency at the
optimal supply voltage. The second phase, determine the optimal reverse body bias that can be applied to
all gates for standby power optimization at the optimal supply voltage determined from the first phase.
Therefore, there exist two sets of gates and two reverse body bias values for each set. The reverse body bias
is switched between these two values in response to the mode of operation. Experimental results are
obtained for some ISCAS-85 benchmark circuits such as 74L85, 74283, ALU74181, and 16 bit RCA. The
optimized circuits show significant energy saving ranged (from 14.5% to 42.28%) and standby power
saving ranged (from 62.8% to 67%).
A RAIL-TO-RAIL HIGH SPEED CLASS-AB CMOS BUFFER WITH LOW POWER AND ENHANCED SL...VLSICS Design
This document summarizes a research paper that proposes a new rail-to-rail class-AB CMOS buffer circuit designed to drive large capacitive loads at high speed with low power dissipation. The proposed circuit uses a new leakage current reduction technique called LECTOR that adds leakage control transistors to reduce sub-threshold leakage current. Simulation results show the circuit operates at 3V with a propagation delay of 292.1×10-12 seconds and leakage current of 118.4μA, representing improvements over prior designs. The settling time is also improved to 41.12×10-9 seconds. In conclusion, the proposed buffer circuit achieves both high speed and low power operation suitable for driving large capacitive loads.
The paper presents a low Power consumption plays a vital role in the present day VLSI technology. Power consumption of an electronic device can be reduced by adopt changed design styles. Multipliers play a most important role in high concert systems. This project focus on a novel energy efficient technique called adiabatic logic which is based on energy renewal principle and power is compared by designing a multiplier. CMOS technology plays a main role in designing low power consuming devices, compared to different logic family CMOS has less power dissipation. Adiabatic logic method is assumed to be an attractive solution for low power electronic applications. By using Adiabatic techniques energy dissipation in PMOS network can be minimized and selection of energy stored at load capacitance can be recycled instead of dissipated as heat. Tanner EDA tools are used for simulation.
VOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITSVLSICS Design
Multiple power domains on a single integrated circuit (IC) are becoming more common due to the increasing complexity of systems on chips (SoCs) as process nodes continue to get smaller. Supplying the correct voltage to each domain requires the use of multiple voltage converters that occupy substantial
space either on-chip or off-chip and introduce additional power loss in the conversions. In this paper, an asynchronous paradigm called Multi-Threshold NULL Convention Logic (MTNCL) is used to create a “stacked” architecture that reduces the number of converters needed and thereby mitigating the
aforementioned problems. In this architecture, the MTNCL circuits are stacked between a multiple of VDD and GND, where simple control mechanisms alleviate the induced dynamic range fluctuation problem. The GLOBALFOUNDRIES 32nm Silicon-on-Insulator (SOI) CMOS process is used to evaluate and analyze the theoretical effects of parasitic extracted physical implementations in stacking different circuits running
different workloads. These results show that the “stacked” architecture introduce negligible overhead compared to the operation of the individual circuits while substantially alleviating the need for voltage converters, which in turn reduces the overall power consumption of the system.
VOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITSVLSICS Design
Multiple power domains on a single integrated circuit (IC) are becoming more common due to the increasing complexity of systems on chips (SoCs) as process nodes continue to get smaller. Supplying the correct voltage to each domain requires the use of multiple voltage converters that occupy substantial
space either on-chip or off-chip and introduce additional power loss in the conversions. In this paper, an asynchronous paradigm called Multi-Threshold NULL Convention Logic (MTNCL) is used to create a “stacked” architecture that reduces the number of converters needed and thereby mitigating the
aforementioned problems. In this architecture, the MTNCL circuits are stacked between a multiple of VDD
and GND, where simple control mechanisms alleviate the induced dynamic range fluctuation problem. The GLOBALFOUNDRIES 32nm Silicon-on-Insulator (SOI) CMOS process is used to evaluate and analyze the theoretical effects of parasitic extracted physical implementations in stacking different circuits running different workloads. These results show that the “stacked” architecture introduce negligible overhead
compared to the operation of the individual circuits while substantially alleviating the need for voltage converters, which in turn reduces the overall power consumption of the system.
VOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITSVLSICS Design
Multiple power domains on a single integrated circuit (IC) are becoming more common due to the increasing complexity of systems on chips (SoCs) as process nodes continue to get smaller. Supplying the correct voltage to each domain requires the use of multiple voltage converters that occupy substantial space either on-chip or off-chip and introduce additional power loss in the conversions. In this paper, an asynchronous paradigm called Multi-Threshold NULL Convention Logic (MTNCL) is used to create a “stacked” architecture that reduces the number of converters needed and thereby mitigating the aforementioned problems. In this architecture, the MTNCL circuits are stacked between a multiple of VDD and GND, where simple control mechanisms alleviate the induced dynamic range fluctuation problem. The GLOBALFOUNDRIES 32nm Silicon-on-Insulator (SOI) CMOS process is used to evaluate and analyze the theoretical effects of parasitic extracted physical implementations in stacking different circuits running different workloads. These results show that the “stacked” architecture introduce negligible overhead compared to the operation of the individual circuits while substantially alleviating the need for voltage converters, which in turn reduces the overall power consumption of the system.
PERFORMANCE EVALUATION OF LOW POWER CARRY SAVE ADDER FOR VLSI APPLICATIONSVLSICS Design
This document discusses the performance evaluation of a low power carry save adder (CSA) for VLSI applications. It begins with an abstract that examines subthreshold leakage in CSA circuits and how reducing threshold voltage can lower power consumption. The document then reviews previous work on CSA design. It presents the architecture of a proposed 4-bit CSA designed using gate diffusion input cells to reduce area and power. Simulation results show the CSA has total average power of 4.93μW, propagation delay of 16.3ns, and 37% reduced area due to using GDI cells. The CSA operates as intended in subthreshold regions with low static leakage current.
PERFORMANCE EVALUATION OF LOW POWER CARRY SAVE ADDER FOR VLSI APPLICATIONSVLSICS Design
This report examines the subject of sub threshold leakage on carry save adder. When the gate to source voltage reduces to the threshold voltage at that place is yet some amount of current flow in the circuit and that is undesired. As the process technology advancing much rapidly the threshold voltage of MOS devices reduces very drastically, and it must be applied in lower power devices since it contributes to low amount of leakage current which confine increases the power consumption of the devices. Adders are the basic building blocks for any digital circuit design and used in almost all arithmetic’s. The CSA proves efficient adders due to its quick and precise computations. Hence this paper performs sub threshold analysis on CSA and the scrutinize results that the total average power is around 4.93µW, the propagation delay for complete operation is 16.3ns and since this design uses GDI cell so there is a reduction in area with 37%.
PERFORMANCE EVALUATION OF LOW POWER CARRY SAVE ADDER FOR VLSI APPLICATIONSVLSICS Design
This report examines the subject of sub threshold leakage on carry save adder. When the gate to source voltage reduces to the threshold voltage at that place is yet some amount of current flow in the circuit and that is undesired. As the process technology advancing much rapidly the threshold voltage of MOS devices reduces very drastically, and it must be applied in lower power devices since it contributes to low amount
of leakage current which confine increases the power consumption of the devices. Adders are the basic
building blocks for any digital circuit design and used in almost all arithmetic’s. The CSA proves efficient adders due to its quick and precise computations. Hence this paper performs sub threshold analysis on CSA and the scrutinize results that the total average power is around 4.93µW, the propagation delay for complete operation is 16.3ns and since this design uses GDI cell so there is a reduction in area with 37%.
An Ultra-Low Power Robust Koggestone Adder at Sub-Threshold Voltages for Impl...VLSICS Design
The growing demand for energy constrained applications and portable devices have created a dire need for ultra-low power circuits. Implantable biomedical devices such as pacemakers need ultra-low power circuits for a better battery life for uninterrupted biomedical data processing. Circuits operating in subthreshold region minimize the energy per operation, thus providing a better platform for energy constrained implantable biomedical devices. This paper presents 8, 16 and 32-bit ultra-low power robust Kogge-Stone adders with improved performance. These adders operate at subthreshold supply voltages which can be used for low power implantable bio-medical devices such as pacemakers. To improve the performance of these adders in sub-threshold region, forward body bias technique and multi-threshold transistors are used. The adders are designed using NCSU 45nm bulk CMOS process library and the simulations were performed using HSPICE circuit simulator. Quantitative power-performance analysis is performed at slow-slow (SS), typical-typical (TT) and fast-fast (FF) corners clocked at 50 KHz for temperature ranging from 25̊C to 120̊C. For a supply voltage 0.3V, all the adders had the least PDP. Using 0.3V as the supply voltage, multi threshold voltage and forward body biasing techniques were applied to
further improve the performance of the adders. The PDP obtained using the forward body biasing technique shows an effective improvement compared to high threshold voltage and multi threshold voltage techniques. The forward biasing technique maintains a balance between delay reduction and increase in average power, thus reducing the power delay product when compared to the other two techniques.
AN ULTRA-LOW POWER ROBUST KOGGESTONE ADDER AT SUB-THRESHOLD VOLTAGES FOR IMPL...VLSICS Design
The growing demand for energy constrained applications and portable devices have created a dire need for
ultra-low power circuits. Implantable biomedical devices such as pacemakers need ultra-low power
circuits for a better battery life for uninterrupted biomedical data processing. Circuits operating in subthreshold
region minimize the energy per operation, thus providing a better platform for energy
constrained implantable biomedical devices. This paper presents 8, 16 and 32-bit ultra-low power robust
Kogge-Stone adders with improved performance. These adders operate at subthreshold supply voltages
which can be used for low power implantable bio-medical devices such as pacemakers. To improve the
performance of these adders in sub-threshold region, forward body bias technique and multi-threshold
transistors are used. The adders are designed using NCSU 45nm bulk CMOS process library and the
simulations were performed using HSPICE circuit simulator. Quantitative power-performance analysis is
performed at slow-slow (SS), typical-typical (TT) and fast-fast (FF) corners clocked at 50 KHz for
temperature ranging from 25̊C to 120̊C. For a supply voltage 0.3V, all the adders had the least PDP. Using
0.3V as the supply voltage, multi threshold voltage and forward body biasing techniques were applied to
further improve the performance of the adders. The PDP obtained using the forward body biasing
technique shows an effective improvement compared to high threshold voltage and multi threshold voltage
techniques. The forward biasing technique maintains a balance between delay reduction and increase in
average power, thus reducing the power delay product when compared to the other two techniques.
GENERIC SYSTEM VERILOG UNIVERSAL VERIFICATION METHODOLOGY BASED REUSABLE VERI...VLSICS Design
In this paper, we present Generic System Verilog Universal Verification Methodology based Reusable Verification Environment for efficient verification of Image Signal Processing IP’s/SoC’s. With the tight schedules on all projects it is important to have a strong verification methodology which contributes to First Silicon Success. Deploy methodologies which enforce full functional coverage and verification of corner cases through pseudo random test scenarios is required. Also, standardization of verification flow is needed. Previously, inside imaging group of ST, Specman (e)/Verilog based Verification Environment for IP/Subsystem level verification and C/C++/Verilog based Directed Verification Environment for SoC Level Verification was used for Functional Verification. Different Verification Environments were used at IP
level and SoC level. Different Verification/Validation Methodologies were used for SoC Verification across multiple sites. Verification teams were also looking for the ways how to catch bugs early in the design cycle? Thus, Generic System Verilog Universal Verification Methodology (UVM) based Reusable Verification Environment is required to avoid the problem of having so many methodologies and provides a standard unified solution which compiles on all tools.
Design of High Speed Low Power 15-4 Compressor Using Complementary Energy Pat...CSCJournals
This paper presents the implementation of a novel high speed low power 15-4 Compressor for high speed multiplication applications using single phase clocked quasi static adiabatic logic namely CEPAL (Complementary Energy Path Adiabatic Logic). The main advantage of this static adiabatic logic is the minimization of the 1/2CVth2 energy dissipation occurring every cycle in the multi-phase power-clocked adiabatic circuits. The proposed Compressor uses bit sliced architecture to exploit the parallelism in the computation of sum of 15 input bits by five full adders. The newly proposed Compressor is also centered around the design of a novel 5-3 Compressor that attempts to minimize the stage delays of a conventional 5-3 Compressor that is designed using single bit full adder and half adder architectures. Firstly, the performance characteristics of CEPAL 15-3 Compressor with 14 transistor and 10 transistor adder designs are compared against the conventional static CMOS logic counterpart to identify its adiabatic power advantage. The analyses are carried out using the industry standard Tanner EDA design environment using 250 nm technology libraries. The results prove that CEPAL 14T 15-4 Compressor is 68.11% power efficient, 75.31% faster over its static CMOS counterpart.
IRJET- A Novel Design of Flip Flop and its Application in Up CounterIRJET Journal
1) The document proposes a novel design of flip flops and a 4-bit up counter using Quantum-Dot Cellular Automata (QCA) technology. QCA is an emerging nanotechnology that could overcome scaling limitations of CMOS.
2) In QCA, logic states are represented by the position of electrons in quantum dots rather than voltage as in CMOS. Basic logic gates like inverters and majority gates are constructed using QCA cells.
3) The document designs various flip flops like SR, JK, D, and T flip flops in QCA and uses them to build a 4-bit up counter. Power consumption is shown to be lower for the QCA designs compared to
This document describes an asynchronous fine-grain power gated logic (AFPL) circuit for reducing power dissipation in asynchronous circuits. Each stage of the AFPL circuit contains an efficient charge recovery logic (ECRL) gate to perform logic functions and a handshake controller. The handshake controller provides power to the ECRL gate and handles handshaking between pipeline stages. A partial charge reuse mechanism can be integrated to reuse charge from one ECRL gate to power another, reducing energy. The AFPL circuit uses a novel C-element design called a Sutherland pull-up pull-down to allow ECRL gates to enter sleep mode earlier. Simulation results show the AFPL circuit achieves significant power savings compared to
Similar to Adiabatic Logic Based Low Power Carry Select Adder for future Technologies (20)
A Study on Translucent Concrete Product and Its Properties by Using Optical F...IJMER
- Translucent concrete is a concrete based material with light-transferring properties,
obtained due to embedded light optical elements like Optical fibers used in concrete. Light is conducted
through the concrete from one end to the other. This results into a certain light pattern on the other
surface, depending on the fiber structure. Optical fibers transmit light so effectively that there is
virtually no loss of light conducted through the fibers. This paper deals with the modeling of such
translucent or transparent concrete blocks and panel and their usage and also the advantages it brings
in the field. The main purpose is to use sunlight as a light source to reduce the power consumption of
illumination and to use the optical fiber to sense the stress of structures and also use this concrete as an
architectural purpose of the building
Developing Cost Effective Automation for Cotton Seed DelintingIJMER
A low cost automation system for removal of lint from cottonseed is to be designed and
developed. The setup consists of stainless steel drum with stirrer in which cottonseeds having lint is mixed
with concentrated sulphuric acid. So lint will get burn. This lint free cottonseed treated with lime water to
neutralize acidic nature. After water washing this cottonseeds are used for agriculter purpose
Study & Testing Of Bio-Composite Material Based On Munja FibreIJMER
The incorporation of natural fibres such as munja fiber composites has gained
increasing applications both in many areas of Engineering and Technology. The aim of this study is to
evaluate mechanical properties such as flexural and tensile properties of reinforced epoxy composites.
This is mainly due to their applicable benefits as they are light weight and offer low cost compared to
synthetic fibre composites. Munja fibres recently have been a substitute material in many weight-critical
applications in areas such as aerospace, automotive and other high demanding industrial sectors. In
this study, natural munja fibre composites and munja/fibreglass hybrid composites were fabricated by a
combination of hand lay-up and cold-press methods. A new variety in munja fibre is the present work
the main aim of the work is to extract the neat fibre and is characterized for its flexural characteristics.
The composites are fabricated by reinforcing untreated and treated fibre and are tested for their
mechanical, properties strictly as per ASTM procedures.
Hybrid Engine (Stirling Engine + IC Engine + Electric Motor)IJMER
Hybrid engine is a combination of Stirling engine, IC engine and Electric motor. All these 3 are
connected together to a single shaft. The power source of the Stirling engine will be a Solar Panel. The aim of
this is to run the automobile using a Hybrid engine
Fabrication & Characterization of Bio Composite Materials Based On Sunnhemp F...IJMER
This document summarizes research on the fabrication and characterization of bio-composite materials using sunnhemp fibre. The document discusses how sunnhemp fibre was used to reinforce an epoxy matrix through hand lay-up methods. Various mechanical properties of the bio-composites were tested, including tensile, flexural, and impact properties. The results of the mechanical tests on the bio-composite specimens are presented. Potential applications of the sunnhemp fibre bio-composites are also suggested, such as in fall ceilings, partitions, packaging, automotive interiors, and toys.
Geochemistry and Genesis of Kammatturu Iron Ores of Devagiri Formation, Sandu...IJMER
The Greenstone belts of Karnataka are enriched in BIFs in Dharwar craton, where Iron
formations are confined to the basin shelf, clearly separated from the deeper-water iron formation that
accumulated at the basin margin and flanking the marine basin. Geochemical data procured in terms of
major, trace and REE are plotted in various diagrams to interpret the genesis of BIFs. Al2O3, Fe2O3 (T),
TiO2, CaO, and SiO2 abundances and ratios show a wide variation. Ni, Co, Zr, Sc, V, Rb, Sr, U, Th,
ΣREE, La, Ce and Eu anomalies and their binary relationships indicate that wherever the terrigenous
component has increased, the concentration of elements of felsic such as Zr and Hf has gone up. Elevated
concentrations of Ni, Co and Sc are contributed by chlorite and other components characteristic of basic
volcanic debris. The data suggest that these formations were generated by chemical and clastic
sedimentary processes on a shallow shelf. During transgression, chemical precipitation took place at the
sediment-water interface, whereas at the time of regression. Iron ore formed with sedimentary structures
and textures in Kammatturu area, in a setting where the water column was oxygenated.
Experimental Investigation on Characteristic Study of the Carbon Steel C45 in...IJMER
In this paper, the mechanical characteristics of C45 medium carbon steel are investigated
under various working conditions. The main characteristic to be studied on this paper is impact toughness
of the material with different configurations and the experiment were carried out on charpy impact testing
equipment. This study reveals the ability of the material to absorb energy up to failure for various
specimen configurations under different heat treated conditions and the corresponding results were
compared with the analysis outcome
Non linear analysis of Robot Gun Support Structure using Equivalent Dynamic A...IJMER
Robot guns are being increasingly employed in automotive manufacturing to replace
risky jobs and also to increase productivity. Using a single robot for a single operation proves to be
expensive. Hence for cost optimization, multiple guns are mounted on a single robot and multiple
operations are performed. Robot Gun structure is an efficient way in which multiple welds can be done
simultaneously. However mounting several weld guns on a single structure induces a variety of
dynamic loads, especially during movement of the robot arm as it maneuvers to reach the weld
locations. The primary idea employed in this paper, is to model those dynamic loads as equivalent G
force loads in FEA. This approach will be on the conservative side, and will be saving time and
subsequently cost efficient. The approach of the paper is towards creating a standard operating
procedure when it comes to analysis of such structures, with emphasis on deploying various technical
aspects of FEA such as Non Linear Geometry, Multipoint Constraint Contact Algorithm, Multizone
meshing .
Static Analysis of Go-Kart Chassis by Analytical and Solid Works SimulationIJMER
This paper aims to do modelling, simulation and performing the static analysis of a go
kart chassis consisting of Circular beams. Modelling, simulations and analysis are performed using 3-D
modelling software i.e. Solid Works and ANSYS according to the rulebook provided by Indian Society of
New Era Engineers (ISNEE) for National Go Kart Championship (NGKC-14).The maximum deflection is
determined by performing static analysis. Computed results are then compared to analytical calculation,
where it is found that the location of maximum deflection agrees well with theoretical approximation but
varies on magnitude aspect.
In récent year various vehicle introduced in market but due to limitation in
carbon émission and BS Séries limitd speed availability vehicle in the market and causing of
environnent pollution over few year There is need to decrease dependancy on fuel vehicle.
bicycle is to be modified for optional in the future To implement new technique using change in
pedal assembly and variable speed gearbox such as planetary gear optimise speed of vehicle
with variable speed ratio.To increase the efficiency of bicycle for confortable drive and to
reduce torque appli éd on bicycle. we introduced epicyclic gear box in which transmission done
throgh Chain Drive (i.e. Sprocket )to rear wheel with help of Epicyclical gear Box to give
number of différent Speed during driving.To reduce torque requirent in the cycle with change in
the pedal mechanism
Integration of Struts & Spring & Hibernate for Enterprise ApplicationsIJMER
This document discusses integrating the Spring, Struts, and Hibernate frameworks to develop enterprise applications. It provides an overview of each framework and their features. The Spring Framework is a lightweight, modular framework that allows for inversion of control and aspect-oriented programming. It can be used to develop any or all tiers of an application. The document proposes an architecture for an e-commerce website that integrates these three frameworks, with Spring handling the business layer, Struts the presentation layer, and Hibernate the data access layer. This modular approach allows for clear separation of concerns and reduces complexity in application development.
Microcontroller Based Automatic Sprinkler Irrigation SystemIJMER
Microcontroller based Automatic Sprinkler System is a new concept of using
intelligence power of embedded technology in the sprinkler irrigation work. Designed system replaces
the conventional manual work involved in sprinkler irrigation to automatic process. Using this system a
farmer is protected against adverse inhuman weather conditions, tedious work of changing over of
sprinkler water pipe lines & risk of accident due to high pressure in the water pipe line. Overall
sprinkler irrigation work is transformed in to a comfortableautomatic work. This system provides
flexibility & accuracy in respect of time set for the operation of a sprinkler water pipe lines. In present
work the author has designed and developed an automatic sprinkler irrigation system which is
controlled and monitored by a microcontroller interfaced with solenoid valves.
On some locally closed sets and spaces in Ideal Topological SpacesIJMER
This document introduces and studies the concept of δˆ s-locally closed sets in ideal topological spaces. Some key points:
- A subset A is δˆ s-locally closed if A can be written as the intersection of a δˆ s-open set and a δˆ s-closed set.
- Various properties of δˆ s-locally closed sets are introduced and characterized, including relationships to other concepts like generalized locally closed sets.
- It is shown that a subset A is δˆ s-locally closed if and only if A can be written as the intersection of a δˆ s-open set and the δˆ s-closure of A.
- Theore
Intrusion Detection and Forensics based on decision tree and Association rule...IJMER
This paper present an approach based on the combination of, two techniques using
decision tree and Association rule mining for Probe attack detection. This approach proves to be
better than the traditional approach of generating rules for fuzzy expert system by clustering methods.
Association rule mining for selecting the best attributes together and decision tree for identifying the
best parameters together to create the rules for fuzzy expert system. After that rules for fuzzy expert
system are generated using association rule mining and decision trees. Decision trees is generated for
dataset and to find the basic parameters for creating the membership functions of fuzzy inference
system. Membership functions are generated for the probe attack. Based on these rules we have
created the fuzzy inference system that is used as an input to neuro-fuzzy system. Fuzzy inference
system is loaded to neuro-fuzzy toolbox as an input and the final ANFIS structure is generated for
outcome of neuro-fuzzy approach. The experiments and evaluations of the proposed method were
done with NSL-KDD intrusion detection dataset. As the experimental results, the proposed approach
based on the combination of, two techniques using decision tree and Association rule mining
efficiently detected probe attacks. Experimental results shows better results for detecting intrusions as
compared to others existing methods
Natural Language Ambiguity and its Effect on Machine LearningIJMER
This document discusses natural language ambiguity and its effect on machine learning. It begins by introducing different types of ambiguity that exist in natural languages, including lexical, syntactic, semantic, discourse, and pragmatic ambiguities. It then examines how these ambiguities present challenges for computational linguistics and machine translation systems. Specifically, it notes that ambiguity is a major problem for computers in processing human language as they lack the world knowledge and context that humans use to resolve ambiguities. The document concludes by outlining the typical process of machine translation and how ambiguities can interfere with tasks like analysis, transfer, and generation of text in the target language.
Today in era of software industry there is no perfect software framework available for
analysis and software development. Currently there are enormous number of software development
process exists which can be implemented to stabilize the process of developing a software system. But no
perfect system is recognized till yet which can help software developers for opting of best software
development process. This paper present the framework of skillful system combined with Likert scale. With
the help of Likert scale we define a rule based model and delegate some mass score to every process and
develop one tool name as MuxSet which will help the software developers to select an appropriate
development process that may enhance the probability of system success.
Material Parameter and Effect of Thermal Load on Functionally Graded CylindersIJMER
The present study investigates the creep in a thick-walled composite cylinders made
up of aluminum/aluminum alloy matrix and reinforced with silicon carbide particles. The distribution
of SiCp is assumed to be either uniform or decreasing linearly from the inner to the outer radius of
the cylinder. The creep behavior of the cylinder has been described by threshold stress based creep
law with a stress exponent of 5. The composite cylinders are subjected to internal pressure which is
applied gradually and steady state condition of stress is assumed. The creep parameters required to
be used in creep law, are extracted by conducting regression analysis on the available experimental
results. The mathematical models have been developed to describe steady state creep in the composite
cylinder by using von-Mises criterion. Regression analysis is used to obtain the creep parameters
required in the study. The basic equilibrium equation of the cylinder and other constitutive equations
have been solved to obtain creep stresses in the cylinder. The effect of varying particle size, particle
content and temperature on the stresses in the composite cylinder has been analyzed. The study
revealed that the stress distributions in the cylinder do not vary significantly for various combinations
of particle size, particle content and operating temperature except for slight variation observed for
varying particle content. Functionally Graded Materials (FGMs) emerged and led to the development
of superior heat resistant materials.
Energy Audit is the systematic process for finding out the energy conservation
opportunities in industrial processes. The project carried out studies on various energy conservation
measures application in areas like lighting, motors, compressors, transformer, ventilation system etc.
In this investigation, studied the technical aspects of the various measures along with its cost benefit
analysis.
Investigation found that major areas of energy conservation are-
1. Energy efficient lighting schemes.
2. Use of electronic ballast instead of copper ballast.
3. Use of wind ventilators for ventilation.
4. Use of VFD for compressor.
5. Transparent roofing sheets to reduce energy consumption.
So Energy Audit is the only perfect & analyzed way of meeting the Industrial Energy Conservation.
An Implementation of I2C Slave Interface using Verilog HDLIJMER
This document describes the implementation of an I2C slave interface using Verilog HDL. It introduces the I2C protocol which uses only two bidirectional lines (SDA and SCL) for communication. The document discusses the I2C protocol specifications including start/stop conditions, addressing, read/write operations, and acknowledgements. It then provides details on designing an I2C slave module in Verilog that responds to commands from an I2C master and allows synchronization through clock stretching. The module is simulated in ModelSim and synthesized in Xilinx. Simulation waveforms demonstrate successful read and write operations to the slave device.
Discrete Model of Two Predators competing for One PreyIJMER
This paper investigates the dynamical behavior of a discrete model of one prey two
predator systems. The equilibrium points and their stability are analyzed. Time series plots are obtained
for different sets of parameter values. Also bifurcation diagrams are plotted to show dynamical behavior
of the system in selected range of growth parameter
Driving Business Innovation: Latest Generative AI Advancements & Success StorySafe Software
Are you ready to revolutionize how you handle data? Join us for a webinar where we’ll bring you up to speed with the latest advancements in Generative AI technology and discover how leveraging FME with tools from giants like Google Gemini, Amazon, and Microsoft OpenAI can supercharge your workflow efficiency.
During the hour, we’ll take you through:
Guest Speaker Segment with Hannah Barrington: Dive into the world of dynamic real estate marketing with Hannah, the Marketing Manager at Workspace Group. Hear firsthand how their team generates engaging descriptions for thousands of office units by integrating diverse data sources—from PDF floorplans to web pages—using FME transformers, like OpenAIVisionConnector and AnthropicVisionConnector. This use case will show you how GenAI can streamline content creation for marketing across the board.
Ollama Use Case: Learn how Scenario Specialist Dmitri Bagh has utilized Ollama within FME to input data, create custom models, and enhance security protocols. This segment will include demos to illustrate the full capabilities of FME in AI-driven processes.
Custom AI Models: Discover how to leverage FME to build personalized AI models using your data. Whether it’s populating a model with local data for added security or integrating public AI tools, find out how FME facilitates a versatile and secure approach to AI.
We’ll wrap up with a live Q&A session where you can engage with our experts on your specific use cases, and learn more about optimizing your data workflows with AI.
This webinar is ideal for professionals seeking to harness the power of AI within their data management systems while ensuring high levels of customization and security. Whether you're a novice or an expert, gain actionable insights and strategies to elevate your data processes. Join us to see how FME and AI can revolutionize how you work with data!
In the rapidly evolving landscape of technologies, XML continues to play a vital role in structuring, storing, and transporting data across diverse systems. The recent advancements in artificial intelligence (AI) present new methodologies for enhancing XML development workflows, introducing efficiency, automation, and intelligent capabilities. This presentation will outline the scope and perspective of utilizing AI in XML development. The potential benefits and the possible pitfalls will be highlighted, providing a balanced view of the subject.
We will explore the capabilities of AI in understanding XML markup languages and autonomously creating structured XML content. Additionally, we will examine the capacity of AI to enrich plain text with appropriate XML markup. Practical examples and methodological guidelines will be provided to elucidate how AI can be effectively prompted to interpret and generate accurate XML markup.
Further emphasis will be placed on the role of AI in developing XSLT, or schemas such as XSD and Schematron. We will address the techniques and strategies adopted to create prompts for generating code, explaining code, or refactoring the code, and the results achieved.
The discussion will extend to how AI can be used to transform XML content. In particular, the focus will be on the use of AI XPath extension functions in XSLT, Schematron, Schematron Quick Fixes, or for XML content refactoring.
The presentation aims to deliver a comprehensive overview of AI usage in XML development, providing attendees with the necessary knowledge to make informed decisions. Whether you’re at the early stages of adopting AI or considering integrating it in advanced XML development, this presentation will cover all levels of expertise.
By highlighting the potential advantages and challenges of integrating AI with XML development tools and languages, the presentation seeks to inspire thoughtful conversation around the future of XML development. We’ll not only delve into the technical aspects of AI-powered XML development but also discuss practical implications and possible future directions.
TrustArc Webinar - 2024 Global Privacy SurveyTrustArc
How does your privacy program stack up against your peers? What challenges are privacy teams tackling and prioritizing in 2024?
In the fifth annual Global Privacy Benchmarks Survey, we asked over 1,800 global privacy professionals and business executives to share their perspectives on the current state of privacy inside and outside of their organizations. This year’s report focused on emerging areas of importance for privacy and compliance professionals, including considerations and implications of Artificial Intelligence (AI) technologies, building brand trust, and different approaches for achieving higher privacy competence scores.
See how organizational priorities and strategic approaches to data security and privacy are evolving around the globe.
This webinar will review:
- The top 10 privacy insights from the fifth annual Global Privacy Benchmarks Survey
- The top challenges for privacy leaders, practitioners, and organizations in 2024
- Key themes to consider in developing and maintaining your privacy program
Building Production Ready Search Pipelines with Spark and MilvusZilliz
Spark is the widely used ETL tool for processing, indexing and ingesting data to serving stack for search. Milvus is the production-ready open-source vector database. In this talk we will show how to use Spark to process unstructured data to extract vector representations, and push the vectors to Milvus vector database for search serving.
Full-RAG: A modern architecture for hyper-personalizationZilliz
Mike Del Balso, CEO & Co-Founder at Tecton, presents "Full RAG," a novel approach to AI recommendation systems, aiming to push beyond the limitations of traditional models through a deep integration of contextual insights and real-time data, leveraging the Retrieval-Augmented Generation architecture. This talk will outline Full RAG's potential to significantly enhance personalization, address engineering challenges such as data management and model training, and introduce data enrichment with reranking as a key solution. Attendees will gain crucial insights into the importance of hyperpersonalization in AI, the capabilities of Full RAG for advanced personalization, and strategies for managing complex data integrations for deploying cutting-edge AI solutions.
In his public lecture, Christian Timmerer provides insights into the fascinating history of video streaming, starting from its humble beginnings before YouTube to the groundbreaking technologies that now dominate platforms like Netflix and ORF ON. Timmerer also presents provocative contributions of his own that have significantly influenced the industry. He concludes by looking at future challenges and invites the audience to join in a discussion.
Threats to mobile devices are more prevalent and increasing in scope and complexity. Users of mobile devices desire to take full advantage of the features
available on those devices, but many of the features provide convenience and capability but sacrifice security. This best practices guide outlines steps the users can take to better protect personal devices and information.
Unlock the Future of Search with MongoDB Atlas_ Vector Search Unleashed.pdfMalak Abu Hammad
Discover how MongoDB Atlas and vector search technology can revolutionize your application's search capabilities. This comprehensive presentation covers:
* What is Vector Search?
* Importance and benefits of vector search
* Practical use cases across various industries
* Step-by-step implementation guide
* Live demos with code snippets
* Enhancing LLM capabilities with vector search
* Best practices and optimization strategies
Perfect for developers, AI enthusiasts, and tech leaders. Learn how to leverage MongoDB Atlas to deliver highly relevant, context-aware search results, transforming your data retrieval process. Stay ahead in tech innovation and maximize the potential of your applications.
#MongoDB #VectorSearch #AI #SemanticSearch #TechInnovation #DataScience #LLM #MachineLearning #SearchTechnology
AI 101: An Introduction to the Basics and Impact of Artificial IntelligenceIndexBug
Imagine a world where machines not only perform tasks but also learn, adapt, and make decisions. This is the promise of Artificial Intelligence (AI), a technology that's not just enhancing our lives but revolutionizing entire industries.
Sudheer Mechineni, Head of Application Frameworks, Standard Chartered Bank
Discover how Standard Chartered Bank harnessed the power of Neo4j to transform complex data access challenges into a dynamic, scalable graph database solution. This keynote will cover their journey from initial adoption to deploying a fully automated, enterprise-grade causal cluster, highlighting key strategies for modelling organisational changes and ensuring robust disaster recovery. Learn how these innovations have not only enhanced Standard Chartered Bank’s data infrastructure but also positioned them as pioneers in the banking sector’s adoption of graph technology.
Infrastructure Challenges in Scaling RAG with Custom AI modelsZilliz
Building Retrieval-Augmented Generation (RAG) systems with open-source and custom AI models is a complex task. This talk explores the challenges in productionizing RAG systems, including retrieval performance, response synthesis, and evaluation. We’ll discuss how to leverage open-source models like text embeddings, language models, and custom fine-tuned models to enhance RAG performance. Additionally, we’ll cover how BentoML can help orchestrate and scale these AI components efficiently, ensuring seamless deployment and management of RAG systems in the cloud.
How to Get CNIC Information System with Paksim Ga.pptxdanishmna97
Pakdata Cf is a groundbreaking system designed to streamline and facilitate access to CNIC information. This innovative platform leverages advanced technology to provide users with efficient and secure access to their CNIC details.
GraphSummit Singapore | The Art of the Possible with Graph - Q2 2024Neo4j
Neha Bajwa, Vice President of Product Marketing, Neo4j
Join us as we explore breakthrough innovations enabled by interconnected data and AI. Discover firsthand how organizations use relationships in data to uncover contextual insights and solve our most pressing challenges – from optimizing supply chains, detecting fraud, and improving customer experiences to accelerating drug discoveries.
Why You Should Replace Windows 11 with Nitrux Linux 3.5.0 for enhanced perfor...SOFTTECHHUB
The choice of an operating system plays a pivotal role in shaping our computing experience. For decades, Microsoft's Windows has dominated the market, offering a familiar and widely adopted platform for personal and professional use. However, as technological advancements continue to push the boundaries of innovation, alternative operating systems have emerged, challenging the status quo and offering users a fresh perspective on computing.
One such alternative that has garnered significant attention and acclaim is Nitrux Linux 3.5.0, a sleek, powerful, and user-friendly Linux distribution that promises to redefine the way we interact with our devices. With its focus on performance, security, and customization, Nitrux Linux presents a compelling case for those seeking to break free from the constraints of proprietary software and embrace the freedom and flexibility of open-source computing.
Why You Should Replace Windows 11 with Nitrux Linux 3.5.0 for enhanced perfor...
Adiabatic Logic Based Low Power Carry Select Adder for future Technologies
1. www.ijmer.com
International Journal of Modern Engineering Research (IJMER)
Vol. 3, Issue. 5, Sep - Oct. 2013 pp-2928-2931
ISSN: 2249-6645
Adiabatic Logic Based Low Power Carry Select Adder
for future Technologies
Mary Angel Asha Latha J(M.Tech) Prof. G.V.R.Sagar(M.Tech, P.hD)
Abstract: Adders are of fundamental importance in a wide variety of digital systems. Many fast adders exist, but adding fast
using low area and power is still challenging. This paper presents a new bit block structure that computes propagate
signals called “carry strength” in a ripple fashion. Several new adders based on the new carry select Adder structure are
proposed. Comparison with well-known conventional adders demonstrates that the usage of carry-strength signals allows
high-speed adders to be realised at significantly lower cost and consuming lower power than previously possible. As well as
in this paper we are concentrating on the heat dissipation &we are reducing the current using adiabatic logic.
I.
INTRODUCTION
The importance of a fast, low-cost binary adder in a digital system is difficult to overestimate. Not only are adders
used in every arithmetic operation, they are also needed for computing the physical address in virtually every memory fetch
operation in most modern CPUs. Adders are also used in many other digital systems including telecommunications systems
in places where a full-fledged CPU would be superfluous. Many styles of adders exist. Ripple adders are the smallest but
also the slowest. More recently, carry-skip adders [1, 2, 3] are gaining popularity due to their high speed and relatively small
size. Normally, in an N-bit carry-skip adder divided into a proper number of M-bit blocks [1, 4], a long-range carry signal
starts at a generic block Bi, rippling through some bits in that block, then skips some blocks, and ends in a block B j. If the
carry does not end at the LSB of B j then rippling occurs in that block and an additional delay is needed to compute the valid
sum bits. Carry-look-ahead and carry-select adders [1] are very fast but far larger and consume much more power than ripple
or carry-skip adders. Two of the fastest known addition circuits are the Lynch-Swartzlander’s [5] and Kantabutra’s [6].
hybrid carry-look-ahead adders. They are based on the usage of a carry tree that produces carries intoappropriate bit
positions without back propagation. In order to obtain the valid sum bits as soon as possible, in both Lynch-Swartzlander’s
and Kantabutra’s adders the sum bits are computed by means of carry-select blocks, which are able to perform their
operations in parallel with the carry-tree.
This paper presents two new families of adders, both based on a new bit carry Select & adiabatic structure that computes
propagate signals called “carry-strength” in a ripple fashion. The first family of adders is a family of new carry-select adders
that are significantly faster than traditional carry-select adders while not much larger. The second family of adders is a
family of hybrid lookahead adders similar to those presented in [5, 6] but significantly smaller and still comparable in speed.
In our new type of carry-select adder, the new block structure eliminates the delay due to the rippling at the end of
the life of a long-range carry signal. The main idea is, that for each bit position k in a block Bj we compute whether the carryin to position k comes from the carry-in to block Bj, or whether this carry is internally generated in block Bj. To this purpose
we will use a new type of bit block, in which we will compute propagate signals that start at the LSB of the block and end at
every bit position. We find it helpful to call the complements of these “carry-strength” signals, because they indicate for each
bit position whether the carry-in to that position originates within the same bit block.
In basic arithmetic computation, adder is still plays an important role though many people focus on more complex
computation such as multiplier,divider,cordiccircuits. Although several algorithms and architectures are implemented in
literature, there is not an general architecture for measuring performance equally. Much architectureis tested under different
conditions which possibly result in variant performance even implemented with the same algorithm.
Fig1: Conventional Carry Select Adder using RCA
CLA is proved to have good performance using in high speed adder, so in many papers this architecture are used commonly.
STCLA – Spanning Tree Using CLA uses a tree of 4-bit Manchester Carry-Lookaheadchains (MCC) to generate carry for
different bit position. RCLCSA – Recursive CLA/CSA Adder uses the same conception as STCLA except the lengths of its
www.ijmer.com
2928 | Page
2. International Journal of Modern Engineering Research (IJMER)
www.ijmer.com
Vol. 3, Issue. 5, Sep - Oct. 2013 pp-2928-2931
ISSN: 2249-6645
carry chains are variant, not fixed. HSAC – High Speed Adder Using CLA uses Ling’s adder which solves the transition of
carry propagation delay.
Adder using different implementation is the most critical issue. For example, STCLA and RCLCSA use dynamic
CMOS while HSAC uses static CMOS. Here, we want to implement a general architecture for measuring this three different
algorithm which means we can use both dynamic CMOS and static CMOS to implement these algorithms for equal
comparison. At last, I will offer my new architecture improved from the original paper.
Let me talk about the original implementation. It’s based on the Adiabatic adder. But it takes advantage of the characteristics
of CMOS circuit. Generally, we don’t use “bar”(inverted) as we conduct every equation . But in reality, “bar” is automated
added at the output of logic circuits. So, they use this special characteristic to reduce the carry propagation time
II.
POSITIVE FEEDBACK ADIABATIC LOGIC
The structure of PFAL logic is shown. Two n-trees realize the logic functions. This logic family also generates both
positive and negative outputs. The two major differences with respect to ECRL are that the latch is made by two pMOSFETs
and two nMOSFETs, rather than by only two pMOSFETs as in ECRL, and that the functional blocks are in parallel with the
transmission pMOSFETs. Thus the equivalent resistance is smaller when the capacitance needs to be charged. The ratio
between the energy needed in a cycle and the dissipated one can be seen in figure 4. During the recovery phase, the loaded
capacitance gives back energy to the power supply and the supplied energy decreases.
Fig1: Modified Carry select adder using BEC General schematic for PFAL family
III.
POWER DISSIPATION IN ADIABATIC LOGIC GATES
A limiting factor for the exponentially increasing integration of microelectronics is represented by the power
dissipation. Though CMOS technology provides circuits with very low static power dissipation, during the switching
operation currents are generated, due to the discharge of load capacitances, that cause a power dissipation increasing with the
clock frequency. The adiabatic technique prevents such losses: the charge does not ow from the supply voltage to the load
capacitance and then to ground, but it ows back to a trapezoidal or sinusoidal supply voltage and can be reused. Just losses
due to the resistance of the switches needed for the logic operation still occur. In order to keep these losses small, the clock
frequency has to be much lower than the technological limit.In the literature, a multitude of adiabatic logic families are
proposed. Each different implementation shows some particular advantages, but there are also some basic drawbacks for
these circuits.The goal of this paper is to compare di_erent adiabatic logic families and to investigate their robustness against
technological parameter variations. For this purpose three adiabatic logic families are evaluated and the impact of parameter
variations on the power dissipation is determined. Both intertie (and global) and intra-die (or local) parameter variations of
different components in the same sub-circuit are considered. The most important factor is the threshold voltage variation,
especially for sub-micrometer processes with reduced supply voltage. This was also found for low voltage CMOS circuits,
cf., where the fundamental yield factor was the gate delay variation (in CMOS the power dissipation is not significantly
dependent on the threshold voltage). For adiabatic circuits the timing conditions are not critical, because the clock frequency
is particularly low, and therefore the outputs can always follow the clocked supply voltage. Here the yield critical
requirement is the power dissipation that has a very low nominal value. Hence it exhibits large relative deviations due to
parameter variations that can lead to the violation of the specifications.
www.ijmer.com
2929 | Page
3. International Journal of Modern Engineering Research (IJMER)
www.ijmer.com
Vol. 3, Issue. 5, Sep - Oct. 2013 pp-2928-2931
ISSN: 2249-6645
The general PFAL gate consists of a two cross coupled inver-ters and two functional blocks F and /F (complement
of F) dri-ven by normal and complemented inputs which realizes both normal and complemented outputs. Both the
functional blocks implemented with n channel MOS transistors. The equations used to implement PFAL adder and the
corres-ponding sum and carry implementations.
The logical organization of conventional and adiabatic adders is constructed by the replication of 2 and 4, 4bit
blocks for %bit and 16-bit adder, respectively. Each 4bit block may be viewed as consisting of a carry unit, a sum generation
unit, and a sum selection unit. (In practice, the three parts are of course not necessarily so distinctly separated.) The carries
and both types of sum bits are produced using lookahead functions as much as possible. The detailed logic design of this
adder can be found in [IO]. The adiabatic adder results after the substitution of the conventional CMOS adder’s blocks with
the corresponding adiabatic. Regarding the delay for an n-bit adiabatic carry select adder, which is constructed by mbit
blocks (m<n), we obtain:
where2t, is the delay from the computation of the partial sum P, and Giand, N(t+2tinv7 with N=n/m, the delay of carry
propagation through the m-bit blocks. The design of this adder involved re-thinking of the circuit according to the principle
of the adiabatic switching and no changes were held in the above equations. Also, to best of our knowledge a similar
adiabatic conditional sum adder hasn’t been introduced until now. Finally, following similar substitutions, for the conditional
sum adder whose structure resembles that of carry select adder, we can result in another low power adiabatic adder.
The schematic and simulated waveform of the carry select adder. The energy stored at output can be retrieved by
the reversing the current source direction during discharging process. Hence adiabatic switching technique offers the less
energy dissipation in PMOS network and reuses the stored energy in the output load capacitance by reversing the current
source direction.
Fig 3: PFAL Sum Block
Fig4: PFAL Carry Block
Fig5: Proposed Adiabatic CSA
www.ijmer.com
2930 | Page
4. www.ijmer.com
International Journal of Modern Engineering Research (IJMER)
Vol. 3, Issue. 5, Sep - Oct. 2013 pp-2928-2931
ISSN: 2249-6645
Fig6: Proposed PFAL CSA Layout with area
Fig7: Proposed Circuit Power Results
IV.
CONCLUSION
The new implementation is based on the original architecture, so it can be used in both static CMOS and dynamic
CMOS circuits. And through my architecture, I can reduce power and area consumption but sacrifice some timing (which
can be neglected). By this implementation, I prove that the new architecture is really better than the traditional HSAC. After
reading some papers, I realize that improving adder is very difficult now because of the transistor level. If we want to get
higher performance we must reduce the complexity in transistor level.
REFERENCES
[1]
[2]
[3]
[4]
[5]
[6]
[7]
[8]
KOREN, I.: “Computer arithmetic algorithms”, Prentice-Hall, 1993
KANTABUTRA, V.: “Designing optimum one-level carry-skip adders”, IEEE Trans. on Comp., 1993, Vol. 42, n°6, pp.759-764.
CHAN, P.K., SCHLAG, M.D.F., THOMBORSON, C.D., OKLOBDZIJA, V.G.: “Delay optimization of carry-skip adders and block carrylook-ahead adders”, Proc. of Int’l Symposium on Computer Arithmetic, 1991, pp.154-164.
NAGENDRA, C., IRWIN, M.J., OWENS, R.M.: “Area-time-power tradeoffs in parallel adders”, IEEE Trans. CAS-II, 43, (10), pp. 689702.
T. LYNCH, E.E. SWARTZLANDER, “A spanning-tree carry-look-ahead adder”, IEEE Trans. on Comp., Vol. 41, n°8, Aug. 1992.
V. KANTABUTRA, “A recursive carry-look-ahead/carry-select hybrid adder”, IEEE Trans. on Comp., Vol. 42, n°12, Dec. 1993.
R. Zimmermann and H. Kaeslin, “Cell-Based multilevel Carry-Increment Adders with Minimal AT- and PT-Products, unpublished
manuscript. http://www.iis.ee.ethz.ch/~zimmi/
Tyagi, “A reduced-area scheme for carry-select adders” IEEE Trans. on Comp., Vol. 42, n°10, Oct. 1993.
www.ijmer.com
2931 | Page