SlideShare a Scribd company logo
DESIGN OF
ADDERS
BY
R.PRABHU
132912
01-4-2015
TYPES OF ADDER:
HALF ADDER
FULL ADDER
INTRODUCTION:
 Digital computers perform various arithmetic operation
 Basic arithmetic operation is addition of two binary digits.
 The first three operations produce a sum whose length is one
digit but when the last operation is performed sum is two digits.
 The higher bit is called carry.
 And lower bit is called sum.
 This operation is called half adder.
 The circuit which performs addition of three bits is a full adder.
HALF ADDER:
TRUTH TABLE:
FULL ADDER:
TRUTH TABLE:
 S UM= Sm(1,2,4,7)
 CARRY = Sm(3,5,6,7)
Using K-map, simplified SOP form is:
C = XY + XZ + YZ
S = X'Y'Z + X'YZ'+XY'Z'+XYZ
1
0 1
1 0
00
YZ
0
0 1
1 0
01
11
10
X 1
0 0
0 1
00
YZ 0
1 1
0 1
01
11
10
X
0
1
3
2
0
1
3
2
4
5
7
6
4
5
7
6
Z
LOGIC DIAGRAM:
 C = XY + (XY)Z
 S = XYZ
X
Y S
C
Z
(XY)
Assignment
WRITE A SHORT NOTES ON
1. MANUFACTURING TESTING.
2. FUNCTIONAL TESTING.
3. FILES AND TEXT I/O.
4. DIFFERENTIATE THE CPLD AND FPGA
ARCHITECTURE.
Test Benches
 Testing a design by simulation
 Use a test bench model
 an architecture body that includes an instance of the design under test
 applies sequences of test values to inputs
 monitors values on output signals
 either using simulator
 or with a process that verifies correct operation
Test Bench Example
entity test_bench is
end entity test_bench;
architecture test_reg4 of test_bench is
signal d0, d1, d2, d3, en, clk, q0, q1, q2, q3 : bit;
begin
dut : entity work.reg4(behav)
port map ( d0, d1, d2, d3, en, clk, q0, q1, q2, q3 );
stimulus : process is
begin
d0 <= ’1’; d1 <= ’1’; d2 <= ’1’; d3 <= ’1’; wait for 20 ns;
en <= ’0’; clk <= ’0’; wait for 20 ns;
en <= ’1’; wait for 20 ns;
clk <= ’1’; wait for 20 ns;
d0 <= ’0’; d1 <= ’0’; d2 <= ’0’; d3 <= ’0’; wait for 20 ns;
en <= ’0’; wait for 20 ns;
…
wait;
end process stimulus;
end architecture test_reg4;
Introduction
What is a VHDL test bench?
Test bench structures
Examples
What Is The VHDL Test Bench (TB)?
VHDL test bench (TB) is a piece of VHDL code, which
purpose is to verify the functional correctness of HDL
model.
The main objectives of TB is to:
– Instantiate the design under test (DUT)
– Generate stimulus waveforms for DUT
– Generate reference outputs and compare them with the
outputs of DUT
– Automatically provide a pass or fail indication
Test bench is a part of the circuits specification.
Its a good idea to design the test bench before the DUT,
why?
 Simple Test Bench
 Only the DUT is instantiated into test bench.
 Stimulus is generated inside the test bench
 Poor reusability.
 Suitable only for relatively simple designs.
THANKING YOU

More Related Content

What's hot

Adder and subtrctor DLD
Adder and subtrctor  DLDAdder and subtrctor  DLD
Adder and subtrctor DLD
Rokonuzzaman Rony
 
Adder Presentation
Adder PresentationAdder Presentation
Adder Presentation
Moeez Ahmad
 
Carry look ahead adder
Carry look ahead adderCarry look ahead adder
Carry look ahead adder
dragonpradeep
 
Binary parallel adder
Binary parallel adderBinary parallel adder
Binary parallel adder
anu surya
 
Adder substracter
Adder substracterAdder substracter
Adder substracterWanNurdiana
 
Introduction to Half and Full Adder Circuit - Part 01 | Digital Logic Design |
Introduction to Half and Full Adder Circuit - Part 01 | Digital Logic Design |Introduction to Half and Full Adder Circuit - Part 01 | Digital Logic Design |
Introduction to Half and Full Adder Circuit - Part 01 | Digital Logic Design |
JalpaMaheshwari1
 
Half Adder and Full Adder
Half Adder and Full AdderHalf Adder and Full Adder
Half Adder and Full Adder
Shayshab Azad
 
Half adder & full adder
Half adder & full adderHalf adder & full adder
Half adder & full adder
Gaditek
 
Adders and subtractors in vlsi design
Adders and subtractors in vlsi designAdders and subtractors in vlsi design
Adders and subtractors in vlsi design
dinesh aitha
 
Half & Full Adder
Half &  Full AdderHalf &  Full Adder
Half & Full Adder
Habibur Rahman
 
Half Adder - Combinational Circuit
Half Adder - Combinational CircuitHalf Adder - Combinational Circuit
Half Adder - Combinational Circuit
DoCircuits
 
Parallel Adder and Subtractor
Parallel Adder and SubtractorParallel Adder and Subtractor
Parallel Adder and Subtractor
Smit Shah
 
Half Adder & Full Adder
Half Adder & Full AdderHalf Adder & Full Adder
Half Adder & Full Adder
Habibur Rahman
 
carry look ahead adder
carry look ahead addercarry look ahead adder
carry look ahead adder
ASHISH MANI
 
Half adder and full adder
Half adder and full adderHalf adder and full adder
Half adder and full adder
SanjuktaBanik
 
Half Adder_Digital logic_
Half Adder_Digital logic_Half Adder_Digital logic_
Half Adder_Digital logic_
Rabin BK
 
Half adder layout design
Half adder layout designHalf adder layout design
Half adder layout design
Thevenin Norton TOng
 
Lab 4 Three-Bit Binary Adder
Lab 4 Three-Bit Binary AdderLab 4 Three-Bit Binary Adder
Lab 4 Three-Bit Binary Adder
Katrina Little
 
35th 36th Lecture
35th 36th Lecture35th 36th Lecture
35th 36th Lecture
babak danyal
 

What's hot (20)

Adder and subtrctor DLD
Adder and subtrctor  DLDAdder and subtrctor  DLD
Adder and subtrctor DLD
 
Adder Presentation
Adder PresentationAdder Presentation
Adder Presentation
 
Carry look ahead adder
Carry look ahead adderCarry look ahead adder
Carry look ahead adder
 
Binary parallel adder
Binary parallel adderBinary parallel adder
Binary parallel adder
 
Adder substracter
Adder substracterAdder substracter
Adder substracter
 
Introduction to Half and Full Adder Circuit - Part 01 | Digital Logic Design |
Introduction to Half and Full Adder Circuit - Part 01 | Digital Logic Design |Introduction to Half and Full Adder Circuit - Part 01 | Digital Logic Design |
Introduction to Half and Full Adder Circuit - Part 01 | Digital Logic Design |
 
Half Adder and Full Adder
Half Adder and Full AdderHalf Adder and Full Adder
Half Adder and Full Adder
 
Half adder & full adder
Half adder & full adderHalf adder & full adder
Half adder & full adder
 
Adders and subtractors in vlsi design
Adders and subtractors in vlsi designAdders and subtractors in vlsi design
Adders and subtractors in vlsi design
 
Half & Full Adder
Half &  Full AdderHalf &  Full Adder
Half & Full Adder
 
Half Adder - Combinational Circuit
Half Adder - Combinational CircuitHalf Adder - Combinational Circuit
Half Adder - Combinational Circuit
 
Parallel Adder and Subtractor
Parallel Adder and SubtractorParallel Adder and Subtractor
Parallel Adder and Subtractor
 
Half Adder & Full Adder
Half Adder & Full AdderHalf Adder & Full Adder
Half Adder & Full Adder
 
carry look ahead adder
carry look ahead addercarry look ahead adder
carry look ahead adder
 
Half adder and full adder
Half adder and full adderHalf adder and full adder
Half adder and full adder
 
Lec20
Lec20Lec20
Lec20
 
Half Adder_Digital logic_
Half Adder_Digital logic_Half Adder_Digital logic_
Half Adder_Digital logic_
 
Half adder layout design
Half adder layout designHalf adder layout design
Half adder layout design
 
Lab 4 Three-Bit Binary Adder
Lab 4 Three-Bit Binary AdderLab 4 Three-Bit Binary Adder
Lab 4 Three-Bit Binary Adder
 
35th 36th Lecture
35th 36th Lecture35th 36th Lecture
35th 36th Lecture
 

Viewers also liked

Explain Half Adder and Full Adder with Truth Table
Explain Half Adder and Full Adder with Truth TableExplain Half Adder and Full Adder with Truth Table
Explain Half Adder and Full Adder with Truth Table
elprocus
 
Design half ,full Adder and Subtractor
Design half ,full Adder and SubtractorDesign half ,full Adder and Subtractor
Design half ,full Adder and Subtractor
Jaimin@prt.ltd.
 
Combinational and sequential logic
Combinational and sequential logicCombinational and sequential logic
Combinational and sequential logic
Deepak John
 
Combinational Circuits
Combinational CircuitsCombinational Circuits
Combinational Circuits
Dilum Bandara
 
4 bit binary full subtractor
4 bit binary full subtractor4 bit binary full subtractor
4 bit binary full subtractor
PathurOzie Wafferz
 
Combinational Circuits & Sequential Circuits
Combinational Circuits & Sequential CircuitsCombinational Circuits & Sequential Circuits
Combinational Circuits & Sequential Circuits
gourav kottawar
 
Pertemuan 3a Rangkaian Aritmatik-Half n Full Adder
Pertemuan 3a   Rangkaian Aritmatik-Half n Full AdderPertemuan 3a   Rangkaian Aritmatik-Half n Full Adder
Pertemuan 3a Rangkaian Aritmatik-Half n Full Adder
ahmad haidaroh
 
Combinational circuit
Combinational circuitCombinational circuit
Combinational circuit
Satya P. Joshi
 
Logic gate class 12
Logic gate class 12Logic gate class 12
Logic gate class 12
Nipun Shah
 
Logic gates - AND, OR, NOT, NOR, NAND, XOR, XNOR Gates.
Logic gates - AND, OR, NOT, NOR, NAND, XOR, XNOR Gates.Logic gates - AND, OR, NOT, NOR, NAND, XOR, XNOR Gates.
Logic gates - AND, OR, NOT, NOR, NAND, XOR, XNOR Gates.
Satya P. Joshi
 
Half adder and full adder
Half adder and full adderHalf adder and full adder
Half adder and full adderKamil Hussain
 

Viewers also liked (16)

Explain Half Adder and Full Adder with Truth Table
Explain Half Adder and Full Adder with Truth TableExplain Half Adder and Full Adder with Truth Table
Explain Half Adder and Full Adder with Truth Table
 
Design half ,full Adder and Subtractor
Design half ,full Adder and SubtractorDesign half ,full Adder and Subtractor
Design half ,full Adder and Subtractor
 
Combinational circuit
Combinational circuitCombinational circuit
Combinational circuit
 
Combinational and sequential logic
Combinational and sequential logicCombinational and sequential logic
Combinational and sequential logic
 
Combinational Circuits
Combinational CircuitsCombinational Circuits
Combinational Circuits
 
4 bit binary full subtractor
4 bit binary full subtractor4 bit binary full subtractor
4 bit binary full subtractor
 
4 bit add sub
4 bit add sub4 bit add sub
4 bit add sub
 
Carry save adder vhdl
Carry save adder vhdlCarry save adder vhdl
Carry save adder vhdl
 
Combinational Circuits & Sequential Circuits
Combinational Circuits & Sequential CircuitsCombinational Circuits & Sequential Circuits
Combinational Circuits & Sequential Circuits
 
Pertemuan 3a Rangkaian Aritmatik-Half n Full Adder
Pertemuan 3a   Rangkaian Aritmatik-Half n Full AdderPertemuan 3a   Rangkaian Aritmatik-Half n Full Adder
Pertemuan 3a Rangkaian Aritmatik-Half n Full Adder
 
Combinational circuit
Combinational circuitCombinational circuit
Combinational circuit
 
Logic Gates
Logic GatesLogic Gates
Logic Gates
 
Logic gate class 12
Logic gate class 12Logic gate class 12
Logic gate class 12
 
Logic gates - AND, OR, NOT, NOR, NAND, XOR, XNOR Gates.
Logic gates - AND, OR, NOT, NOR, NAND, XOR, XNOR Gates.Logic gates - AND, OR, NOT, NOR, NAND, XOR, XNOR Gates.
Logic gates - AND, OR, NOT, NOR, NAND, XOR, XNOR Gates.
 
Half adder and full adder
Half adder and full adderHalf adder and full adder
Half adder and full adder
 
Slideshare ppt
Slideshare pptSlideshare ppt
Slideshare ppt
 

Similar to Digital logic circuit

Unit 4 dica
Unit 4 dicaUnit 4 dica
Unit 4 dica
Pavan Mukku
 
Comparison among Different Adders
Comparison among Different Adders Comparison among Different Adders
Comparison among Different Adders
iosrjce
 
Digital System Design Lab Report - VHDL ECE
Digital System Design Lab Report - VHDL ECEDigital System Design Lab Report - VHDL ECE
Digital System Design Lab Report - VHDL ECE
Ramesh Naik Bhukya
 
PVS-Studio team experience: checking various open source projects, or mistake...
PVS-Studio team experience: checking various open source projects, or mistake...PVS-Studio team experience: checking various open source projects, or mistake...
PVS-Studio team experience: checking various open source projects, or mistake...
Andrey Karpov
 
ERTS UNIT 3.ppt
ERTS UNIT 3.pptERTS UNIT 3.ppt
ERTS UNIT 3.ppt
Pavithra525349
 
Uart
UartUart
Uart
cs1090211
 
Computer Organization And Architecture lab manual
Computer Organization And Architecture lab manualComputer Organization And Architecture lab manual
Computer Organization And Architecture lab manual
Nitesh Dubey
 
Introduction VHDL.ppt
Introduction VHDL.pptIntroduction VHDL.ppt
Introduction VHDL.ppt
SravanKumar743222
 
Pipeline stalling in vhdl
Pipeline stalling in vhdlPipeline stalling in vhdl
Pipeline stalling in vhdlSai Malleswar
 
Pythonによるカスタム可能な高位設計技術 (Design Solution Forum 2016@新横浜)
Pythonによるカスタム可能な高位設計技術 (Design Solution Forum 2016@新横浜)Pythonによるカスタム可能な高位設計技術 (Design Solution Forum 2016@新横浜)
Pythonによるカスタム可能な高位設計技術 (Design Solution Forum 2016@新横浜)
Shinya Takamaeda-Y
 
ESL Anyone?
ESL Anyone? ESL Anyone?
ESL Anyone? DVClub
 
Rsa Signature: Behind The Scenes
Rsa Signature: Behind The Scenes Rsa Signature: Behind The Scenes
Rsa Signature: Behind The Scenes
acijjournal
 
LCD_Example.pptx
LCD_Example.pptxLCD_Example.pptx
LCD_Example.pptx
julioalexanderaguila
 
lecture8_Cuong.ppt
lecture8_Cuong.pptlecture8_Cuong.ppt
lecture8_Cuong.ppt
HongV34104
 
Verilogforlab
VerilogforlabVerilogforlab
Verilogforlab
Shankar Bhukya
 
Laboratory exercise 5
Laboratory exercise 5Laboratory exercise 5
Laboratory exercise 5
swapnilswap11
 
15CSL48 MP&MC manual
15CSL48 MP&MC manual15CSL48 MP&MC manual
15CSL48 MP&MC manual
RLJIT
 
Synchronous Loadable Up and Down Counter
Synchronous Loadable Up and Down Counter Synchronous Loadable Up and Down Counter
Synchronous Loadable Up and Down Counter
Digital System Design
 

Similar to Digital logic circuit (20)

Fpga creating counter with internal clock
Fpga   creating counter with internal clockFpga   creating counter with internal clock
Fpga creating counter with internal clock
 
Unit 4 dica
Unit 4 dicaUnit 4 dica
Unit 4 dica
 
Comparison among Different Adders
Comparison among Different Adders Comparison among Different Adders
Comparison among Different Adders
 
Digital System Design Lab Report - VHDL ECE
Digital System Design Lab Report - VHDL ECEDigital System Design Lab Report - VHDL ECE
Digital System Design Lab Report - VHDL ECE
 
PVS-Studio team experience: checking various open source projects, or mistake...
PVS-Studio team experience: checking various open source projects, or mistake...PVS-Studio team experience: checking various open source projects, or mistake...
PVS-Studio team experience: checking various open source projects, or mistake...
 
ERTS UNIT 3.ppt
ERTS UNIT 3.pptERTS UNIT 3.ppt
ERTS UNIT 3.ppt
 
Uart
UartUart
Uart
 
Computer Organization And Architecture lab manual
Computer Organization And Architecture lab manualComputer Organization And Architecture lab manual
Computer Organization And Architecture lab manual
 
Introduction VHDL.ppt
Introduction VHDL.pptIntroduction VHDL.ppt
Introduction VHDL.ppt
 
Pipeline stalling in vhdl
Pipeline stalling in vhdlPipeline stalling in vhdl
Pipeline stalling in vhdl
 
Pythonによるカスタム可能な高位設計技術 (Design Solution Forum 2016@新横浜)
Pythonによるカスタム可能な高位設計技術 (Design Solution Forum 2016@新横浜)Pythonによるカスタム可能な高位設計技術 (Design Solution Forum 2016@新横浜)
Pythonによるカスタム可能な高位設計技術 (Design Solution Forum 2016@新横浜)
 
ESL Anyone?
ESL Anyone? ESL Anyone?
ESL Anyone?
 
Rsa Signature: Behind The Scenes
Rsa Signature: Behind The Scenes Rsa Signature: Behind The Scenes
Rsa Signature: Behind The Scenes
 
LCD_Example.pptx
LCD_Example.pptxLCD_Example.pptx
LCD_Example.pptx
 
lecture8_Cuong.ppt
lecture8_Cuong.pptlecture8_Cuong.ppt
lecture8_Cuong.ppt
 
Jp
Jp Jp
Jp
 
Verilogforlab
VerilogforlabVerilogforlab
Verilogforlab
 
Laboratory exercise 5
Laboratory exercise 5Laboratory exercise 5
Laboratory exercise 5
 
15CSL48 MP&MC manual
15CSL48 MP&MC manual15CSL48 MP&MC manual
15CSL48 MP&MC manual
 
Synchronous Loadable Up and Down Counter
Synchronous Loadable Up and Down Counter Synchronous Loadable Up and Down Counter
Synchronous Loadable Up and Down Counter
 

More from Prabhu R

Power plant engineering
Power plant engineeringPower plant engineering
Power plant engineering
Prabhu R
 
Power electronics
Power electronicsPower electronics
Power electronics
Prabhu R
 
Oops
OopsOops
Oops
Prabhu R
 
Measurement & instrumentation ppt
Measurement & instrumentation pptMeasurement & instrumentation ppt
Measurement & instrumentation ppt
Prabhu R
 
Linear intergrated circuit
Linear intergrated circuitLinear intergrated circuit
Linear intergrated circuit
Prabhu R
 
Electrical machines 2
Electrical machines 2Electrical machines 2
Electrical machines 2
Prabhu R
 
Electrical machines
Electrical machinesElectrical machines
Electrical machines
Prabhu R
 
Electronic devices and circuit
Electronic devices and circuitElectronic devices and circuit
Electronic devices and circuit
Prabhu R
 
Digital signal processing
Digital signal processingDigital signal processing
Digital signal processing
Prabhu R
 
Data structures &amp; algorithms
Data structures &amp; algorithmsData structures &amp; algorithms
Data structures &amp; algorithms
Prabhu R
 
Control system
Control systemControl system
Control system
Prabhu R
 
Tcsc ppt
Tcsc pptTcsc ppt
Tcsc ppt
Prabhu R
 

More from Prabhu R (12)

Power plant engineering
Power plant engineeringPower plant engineering
Power plant engineering
 
Power electronics
Power electronicsPower electronics
Power electronics
 
Oops
OopsOops
Oops
 
Measurement & instrumentation ppt
Measurement & instrumentation pptMeasurement & instrumentation ppt
Measurement & instrumentation ppt
 
Linear intergrated circuit
Linear intergrated circuitLinear intergrated circuit
Linear intergrated circuit
 
Electrical machines 2
Electrical machines 2Electrical machines 2
Electrical machines 2
 
Electrical machines
Electrical machinesElectrical machines
Electrical machines
 
Electronic devices and circuit
Electronic devices and circuitElectronic devices and circuit
Electronic devices and circuit
 
Digital signal processing
Digital signal processingDigital signal processing
Digital signal processing
 
Data structures &amp; algorithms
Data structures &amp; algorithmsData structures &amp; algorithms
Data structures &amp; algorithms
 
Control system
Control systemControl system
Control system
 
Tcsc ppt
Tcsc pptTcsc ppt
Tcsc ppt
 

Recently uploaded

PART A. Introduction to Costumer Service
PART A. Introduction to Costumer ServicePART A. Introduction to Costumer Service
PART A. Introduction to Costumer Service
PedroFerreira53928
 
ESC Beyond Borders _From EU to You_ InfoPack general.pdf
ESC Beyond Borders _From EU to You_ InfoPack general.pdfESC Beyond Borders _From EU to You_ InfoPack general.pdf
ESC Beyond Borders _From EU to You_ InfoPack general.pdf
Fundacja Rozwoju Społeczeństwa Przedsiębiorczego
 
Language Across the Curriculm LAC B.Ed.
Language Across the  Curriculm LAC B.Ed.Language Across the  Curriculm LAC B.Ed.
Language Across the Curriculm LAC B.Ed.
Atul Kumar Singh
 
How to Split Bills in the Odoo 17 POS Module
How to Split Bills in the Odoo 17 POS ModuleHow to Split Bills in the Odoo 17 POS Module
How to Split Bills in the Odoo 17 POS Module
Celine George
 
The approach at University of Liverpool.pptx
The approach at University of Liverpool.pptxThe approach at University of Liverpool.pptx
The approach at University of Liverpool.pptx
Jisc
 
1.4 modern child centered education - mahatma gandhi-2.pptx
1.4 modern child centered education - mahatma gandhi-2.pptx1.4 modern child centered education - mahatma gandhi-2.pptx
1.4 modern child centered education - mahatma gandhi-2.pptx
JosvitaDsouza2
 
MARUTI SUZUKI- A Successful Joint Venture in India.pptx
MARUTI SUZUKI- A Successful Joint Venture in India.pptxMARUTI SUZUKI- A Successful Joint Venture in India.pptx
MARUTI SUZUKI- A Successful Joint Venture in India.pptx
bennyroshan06
 
Fish and Chips - have they had their chips
Fish and Chips - have they had their chipsFish and Chips - have they had their chips
Fish and Chips - have they had their chips
GeoBlogs
 
Ethnobotany and Ethnopharmacology ......
Ethnobotany and Ethnopharmacology ......Ethnobotany and Ethnopharmacology ......
Ethnobotany and Ethnopharmacology ......
Ashokrao Mane college of Pharmacy Peth-Vadgaon
 
The geography of Taylor Swift - some ideas
The geography of Taylor Swift - some ideasThe geography of Taylor Swift - some ideas
The geography of Taylor Swift - some ideas
GeoBlogs
 
Overview on Edible Vaccine: Pros & Cons with Mechanism
Overview on Edible Vaccine: Pros & Cons with MechanismOverview on Edible Vaccine: Pros & Cons with Mechanism
Overview on Edible Vaccine: Pros & Cons with Mechanism
DeeptiGupta154
 
Palestine last event orientationfvgnh .pptx
Palestine last event orientationfvgnh .pptxPalestine last event orientationfvgnh .pptx
Palestine last event orientationfvgnh .pptx
RaedMohamed3
 
How libraries can support authors with open access requirements for UKRI fund...
How libraries can support authors with open access requirements for UKRI fund...How libraries can support authors with open access requirements for UKRI fund...
How libraries can support authors with open access requirements for UKRI fund...
Jisc
 
Synthetic Fiber Construction in lab .pptx
Synthetic Fiber Construction in lab .pptxSynthetic Fiber Construction in lab .pptx
Synthetic Fiber Construction in lab .pptx
Pavel ( NSTU)
 
Operation Blue Star - Saka Neela Tara
Operation Blue Star   -  Saka Neela TaraOperation Blue Star   -  Saka Neela Tara
Operation Blue Star - Saka Neela Tara
Balvir Singh
 
Supporting (UKRI) OA monographs at Salford.pptx
Supporting (UKRI) OA monographs at Salford.pptxSupporting (UKRI) OA monographs at Salford.pptx
Supporting (UKRI) OA monographs at Salford.pptx
Jisc
 
GIÁO ÁN DẠY THÊM (KẾ HOẠCH BÀI BUỔI 2) - TIẾNG ANH 8 GLOBAL SUCCESS (2 CỘT) N...
GIÁO ÁN DẠY THÊM (KẾ HOẠCH BÀI BUỔI 2) - TIẾNG ANH 8 GLOBAL SUCCESS (2 CỘT) N...GIÁO ÁN DẠY THÊM (KẾ HOẠCH BÀI BUỔI 2) - TIẾNG ANH 8 GLOBAL SUCCESS (2 CỘT) N...
GIÁO ÁN DẠY THÊM (KẾ HOẠCH BÀI BUỔI 2) - TIẾNG ANH 8 GLOBAL SUCCESS (2 CỘT) N...
Nguyen Thanh Tu Collection
 
CLASS 11 CBSE B.St Project AIDS TO TRADE - INSURANCE
CLASS 11 CBSE B.St Project AIDS TO TRADE - INSURANCECLASS 11 CBSE B.St Project AIDS TO TRADE - INSURANCE
CLASS 11 CBSE B.St Project AIDS TO TRADE - INSURANCE
BhavyaRajput3
 
Home assignment II on Spectroscopy 2024 Answers.pdf
Home assignment II on Spectroscopy 2024 Answers.pdfHome assignment II on Spectroscopy 2024 Answers.pdf
Home assignment II on Spectroscopy 2024 Answers.pdf
Tamralipta Mahavidyalaya
 
Template Jadual Bertugas Kelas (Boleh Edit)
Template Jadual Bertugas Kelas (Boleh Edit)Template Jadual Bertugas Kelas (Boleh Edit)
Template Jadual Bertugas Kelas (Boleh Edit)
rosedainty
 

Recently uploaded (20)

PART A. Introduction to Costumer Service
PART A. Introduction to Costumer ServicePART A. Introduction to Costumer Service
PART A. Introduction to Costumer Service
 
ESC Beyond Borders _From EU to You_ InfoPack general.pdf
ESC Beyond Borders _From EU to You_ InfoPack general.pdfESC Beyond Borders _From EU to You_ InfoPack general.pdf
ESC Beyond Borders _From EU to You_ InfoPack general.pdf
 
Language Across the Curriculm LAC B.Ed.
Language Across the  Curriculm LAC B.Ed.Language Across the  Curriculm LAC B.Ed.
Language Across the Curriculm LAC B.Ed.
 
How to Split Bills in the Odoo 17 POS Module
How to Split Bills in the Odoo 17 POS ModuleHow to Split Bills in the Odoo 17 POS Module
How to Split Bills in the Odoo 17 POS Module
 
The approach at University of Liverpool.pptx
The approach at University of Liverpool.pptxThe approach at University of Liverpool.pptx
The approach at University of Liverpool.pptx
 
1.4 modern child centered education - mahatma gandhi-2.pptx
1.4 modern child centered education - mahatma gandhi-2.pptx1.4 modern child centered education - mahatma gandhi-2.pptx
1.4 modern child centered education - mahatma gandhi-2.pptx
 
MARUTI SUZUKI- A Successful Joint Venture in India.pptx
MARUTI SUZUKI- A Successful Joint Venture in India.pptxMARUTI SUZUKI- A Successful Joint Venture in India.pptx
MARUTI SUZUKI- A Successful Joint Venture in India.pptx
 
Fish and Chips - have they had their chips
Fish and Chips - have they had their chipsFish and Chips - have they had their chips
Fish and Chips - have they had their chips
 
Ethnobotany and Ethnopharmacology ......
Ethnobotany and Ethnopharmacology ......Ethnobotany and Ethnopharmacology ......
Ethnobotany and Ethnopharmacology ......
 
The geography of Taylor Swift - some ideas
The geography of Taylor Swift - some ideasThe geography of Taylor Swift - some ideas
The geography of Taylor Swift - some ideas
 
Overview on Edible Vaccine: Pros & Cons with Mechanism
Overview on Edible Vaccine: Pros & Cons with MechanismOverview on Edible Vaccine: Pros & Cons with Mechanism
Overview on Edible Vaccine: Pros & Cons with Mechanism
 
Palestine last event orientationfvgnh .pptx
Palestine last event orientationfvgnh .pptxPalestine last event orientationfvgnh .pptx
Palestine last event orientationfvgnh .pptx
 
How libraries can support authors with open access requirements for UKRI fund...
How libraries can support authors with open access requirements for UKRI fund...How libraries can support authors with open access requirements for UKRI fund...
How libraries can support authors with open access requirements for UKRI fund...
 
Synthetic Fiber Construction in lab .pptx
Synthetic Fiber Construction in lab .pptxSynthetic Fiber Construction in lab .pptx
Synthetic Fiber Construction in lab .pptx
 
Operation Blue Star - Saka Neela Tara
Operation Blue Star   -  Saka Neela TaraOperation Blue Star   -  Saka Neela Tara
Operation Blue Star - Saka Neela Tara
 
Supporting (UKRI) OA monographs at Salford.pptx
Supporting (UKRI) OA monographs at Salford.pptxSupporting (UKRI) OA monographs at Salford.pptx
Supporting (UKRI) OA monographs at Salford.pptx
 
GIÁO ÁN DẠY THÊM (KẾ HOẠCH BÀI BUỔI 2) - TIẾNG ANH 8 GLOBAL SUCCESS (2 CỘT) N...
GIÁO ÁN DẠY THÊM (KẾ HOẠCH BÀI BUỔI 2) - TIẾNG ANH 8 GLOBAL SUCCESS (2 CỘT) N...GIÁO ÁN DẠY THÊM (KẾ HOẠCH BÀI BUỔI 2) - TIẾNG ANH 8 GLOBAL SUCCESS (2 CỘT) N...
GIÁO ÁN DẠY THÊM (KẾ HOẠCH BÀI BUỔI 2) - TIẾNG ANH 8 GLOBAL SUCCESS (2 CỘT) N...
 
CLASS 11 CBSE B.St Project AIDS TO TRADE - INSURANCE
CLASS 11 CBSE B.St Project AIDS TO TRADE - INSURANCECLASS 11 CBSE B.St Project AIDS TO TRADE - INSURANCE
CLASS 11 CBSE B.St Project AIDS TO TRADE - INSURANCE
 
Home assignment II on Spectroscopy 2024 Answers.pdf
Home assignment II on Spectroscopy 2024 Answers.pdfHome assignment II on Spectroscopy 2024 Answers.pdf
Home assignment II on Spectroscopy 2024 Answers.pdf
 
Template Jadual Bertugas Kelas (Boleh Edit)
Template Jadual Bertugas Kelas (Boleh Edit)Template Jadual Bertugas Kelas (Boleh Edit)
Template Jadual Bertugas Kelas (Boleh Edit)
 

Digital logic circuit

  • 2. TYPES OF ADDER: HALF ADDER FULL ADDER
  • 3. INTRODUCTION:  Digital computers perform various arithmetic operation  Basic arithmetic operation is addition of two binary digits.  The first three operations produce a sum whose length is one digit but when the last operation is performed sum is two digits.  The higher bit is called carry.  And lower bit is called sum.  This operation is called half adder.  The circuit which performs addition of three bits is a full adder.
  • 5. FULL ADDER: TRUTH TABLE:  S UM= Sm(1,2,4,7)  CARRY = Sm(3,5,6,7)
  • 6. Using K-map, simplified SOP form is: C = XY + XZ + YZ S = X'Y'Z + X'YZ'+XY'Z'+XYZ 1 0 1 1 0 00 YZ 0 0 1 1 0 01 11 10 X 1 0 0 0 1 00 YZ 0 1 1 0 1 01 11 10 X 0 1 3 2 0 1 3 2 4 5 7 6 4 5 7 6 Z
  • 7. LOGIC DIAGRAM:  C = XY + (XY)Z  S = XYZ X Y S C Z (XY)
  • 8. Assignment WRITE A SHORT NOTES ON 1. MANUFACTURING TESTING. 2. FUNCTIONAL TESTING. 3. FILES AND TEXT I/O. 4. DIFFERENTIATE THE CPLD AND FPGA ARCHITECTURE.
  • 9. Test Benches  Testing a design by simulation  Use a test bench model  an architecture body that includes an instance of the design under test  applies sequences of test values to inputs  monitors values on output signals  either using simulator  or with a process that verifies correct operation
  • 10. Test Bench Example entity test_bench is end entity test_bench; architecture test_reg4 of test_bench is signal d0, d1, d2, d3, en, clk, q0, q1, q2, q3 : bit; begin dut : entity work.reg4(behav) port map ( d0, d1, d2, d3, en, clk, q0, q1, q2, q3 ); stimulus : process is begin d0 <= ’1’; d1 <= ’1’; d2 <= ’1’; d3 <= ’1’; wait for 20 ns; en <= ’0’; clk <= ’0’; wait for 20 ns; en <= ’1’; wait for 20 ns; clk <= ’1’; wait for 20 ns; d0 <= ’0’; d1 <= ’0’; d2 <= ’0’; d3 <= ’0’; wait for 20 ns; en <= ’0’; wait for 20 ns; … wait; end process stimulus; end architecture test_reg4;
  • 11. Introduction What is a VHDL test bench? Test bench structures Examples
  • 12. What Is The VHDL Test Bench (TB)? VHDL test bench (TB) is a piece of VHDL code, which purpose is to verify the functional correctness of HDL model. The main objectives of TB is to: – Instantiate the design under test (DUT) – Generate stimulus waveforms for DUT – Generate reference outputs and compare them with the outputs of DUT – Automatically provide a pass or fail indication Test bench is a part of the circuits specification. Its a good idea to design the test bench before the DUT, why?
  • 13.  Simple Test Bench  Only the DUT is instantiated into test bench.  Stimulus is generated inside the test bench  Poor reusability.  Suitable only for relatively simple designs.