SlideShare a Scribd company logo
1 of 30
Download to read offline
Approaches for Power
Management verification of SoC
  having dynamic power and
      voltage switching

        Prabhu Bhairi
      Texas Instruments

                                 1
Agenda
•  Overview of low power design
•  Why low power verification?

•  Limitation of traditional simulators.
•  Tools and flows at various stages of design cycle
   –  Flow details
   –  Pros’ con’s

•  Conclusion




                                                       2
Typical Low Power Design Desc.
•  Design Size > 20 Million gates
•  Multiple Voltage Domains and Power Domains
•  Many Always ON Paths
•  Lots of Power Switches, Isolations and Level Shifters and Always On buffers
•  Many Retention Flops
•  Power Management :
   –  Shutdown/Sleep: Voltage Domains and Power Domains
   –  Retention Schemes: Multiple retention flops

•  IP Intensive
   –  More than 100 IP’s
Dynamic Power and voltage switching
                                                ON
                                                OFF

          On State                      LP State1
    PD1          PD2       VD1    PD1           PD2    VD1



               Always                         Always
    PD3                    VD2    PD3                  VD2
                 on                             on



          LP State3                 LP State2

    PD1              PD2    VD1   PD1           PD2    VD1



                Always                        Always
    PD3                           PD3                  VD2
                  on                            on
Limitations of Traditional Simulators

•  Limitations
  –  There is no mechanism to partition design into multiple voltages and
     domains.
  –  Traditional simulators insensitive to power states of the device.
  –  Simulator engines does not recognize
         1.  Voltage changes.

         2.  Retention behavior of logic/memory




                                                                       5
What is power aware Simulation?
•  What is Power Aware Simulation ?
  –  Mimicking the power down/wakeup behavior at RTL/Gate level simulation.



•  Why is Power Aware Simulation needed ?
  –  Today’s complex SoC designs have considerable logic implemented for
     Power Management.
  –  Most of the PM logic can be implemented at RTL/Gate level.
  –  Important to find the critical bugs at early stages in the design cycle.
Approaches of Low power verification


1.  ynamic/simulator based verification
  D
2.  tatic/Structural Verification
  S




                                          7
Dynamic/simulator based verification approaches


1.  Simulator platforms
  –  RTL level(PARTL) : Power Aware RTL simulations-UPF/PCF/CPF
  –  Gate Level(PAGLS): Power Aware gate level simulations


2.  Emulator platform
  –  RTL Level : Power aware verification UPF/PCF/CPF based
  –  Gate Level: Power aware gate on accelerator platforms (Zero delay)




                                                                      8
Top Level SoC
     External IP
                                            RTL+ Internal
        RTL
                                                 IP’s
                    IP level
                     Flow

      Compilation



      Compiled
        RTL                                     Compilation




Deliverable
to SoC team

                               Simulation
                                            External IP flow
                                                               9
                                            SoC flow
Top level SoC RTL
           External IP        HM Power                              + internal IP’s
              RTL               Intent
IP Level
 Flow
             Compile                                                 Compile
                                                 Top level
            Compiled                            Power Intent
             library

                                                                   Compiled library




                                         PA generator


                                     Simulator + PLI
                                                               External IP flow
                 Assertions
                                                               SoC flow               10
Requirement of PARTL tools for SoC
 1.  Standard, inheritable and reusable (across all phases of the design cycle)
     power constraint specification
 2.  The constructs to have robust power intent specification
 3.  Handling Multi Vendor IPs (simulator specific Compiled RTL) with in-house
     logic in mixed HDL mode.
 4.  The Multiple Retention scheme, schemes could be vendor specific.
 5.  Low coverage at SoC level, cannot cover every flip flop and every signal by
     SoC level self checking scenario simulation.
        1.  Support of assertions
 6.  Extract the info about Retention flops, Latches, always on signals etc from
     RTL using the tool
 7.  Handling behavioral models.




                                                                               11
Pro’s and Con’s of PARTL
 •  Pro’s.
    –  Highlight issues very early in design cycle- Before RTL freeze.
    –  Easy to debug compared to other platforms.
    –  Run times are better than PAGLS


 •  Con’s
    –  No mechanism to validate the PCF files.
    –  Run time 2 to 3x slower than normal RTL simulation
    –  Tools are not very robust yet.




                                                                         12
What is power aware Gate

•  What is Power Aware gate?
   – It is a netlist with power switches and cells with power
     pins



•  Why is Power Aware gate?
   – Lot of power management features will be implemented
     by BE tools .
   – This netlist has all the switches and power connection so
     can catch any potential issue in power feature
     implementation
External IP                              Top Level SoC
    power Netlist                              Power Netlist
                    IP level
                     Flow

        Compile


                               Power aware
                               modeled cell
      Compiled                   libraries        Compilation
       library



Deliverable
to SoC team

                               Simulation
                                              External IP flow
                                                                 14
                                              SoC flow
Pro’s and Con’s of PAGLS
 •  Pro’s.
    –  Very close to final design hence best candidate to catch issues.
    –  Will catch any issue in BE implementations and power constraint file issues
    –  No Power constraint creation effort


 •  Con’s
    –  Run time and memory foot print 4 to 5x slower compared to PARTL
        •  Netlist is ~2 times bigger than normal netlist
    –  Very late in the design cycle.
    –  Debugging is very difficult.
    –  Developing the power aware library models is effort intensive.




                                                                               15
Power aware emulations with RTL

                                                       Enable better
                                Run application       PM feature space
   Faster run time ?              scenarios ?         coverage! How?




                       Use an emulation platform!!!



                                                                     16
Power-Aware Emulation




                        Target cycle
                            time
                         reduction
                            here




                                       17
External IP                               Top Level SoC
    power netlist                               Power netlist
                    IP level
                     Flow
       synthesis



      Emulator
      data base                                    Compilation
                                Power aware
                                Emulator lib
                                   cells
Deliverable
to SoC team

                               Emulator run
                                               External IP flow
                                                                  18
                                               SoC flow
Advantages
•  Randomized values may create a worst case scenario compared to “x” in
   simulations
•  Inherently faster platform.

•  System level use-cases for PA features can be planned and executed faster.
•  Enables us to do full coverage due to the speed the platform offers.



Limitations
•  There is no real “x” hence few fails may be masked

•  Many features not yet fully supported on production version in Emulations
   platforms

•  Debugging is tedious
•  Vulnerable to power constraints issues like PARTL if Emulation RTL flow is
   used
                                                                                19
Static/Structure verification


1.  Lint tools to verify PM connectivity
2.  Static low power verification on power netlist

3.  STA based static checks




                                                     20
Conclusion

•  Low power requirements have undoubtedly exposed a new challenge in
   DV/EDA community.
•  Lot of flows and EDA support already exist.
   –  Each of them have there own benefit and limitations

•  Given all this Silicon still remains the best platform for low power
   verification,
•  Pre SI DV: we just do not have a perfect solution today because of
   enormous complexity in the design. we should continue focus on
   improvement on flows and tools.
•  Simulation speed with low power enabled worsens even more.



                                                                          21
BACK UP




          22
Key words in low power implementation
•  Power domain
•  Voltage domain
•  Isolation cell
   –  Tie cell, ISO latch

•  Level shifter

•  Retention flip/flop, latch
•  Retention memory

•  Power switch
•  Wakeups
•  Always on logics/domains
•  IO iso/wakeup
                                        23
Low Power Verification Challenges at
SoC level and solutions

1.    Standard, inheritable and reusable (across all phases of the design cycle)
      power constraint specification


Soln:-
      –    Supports the standard power specification format (like UPF)
      –    If any legacy power intent is specified for an IP
           •    Ex: APF->UPF, PCF->UPF conversion is seamless to user.




                                                                                   24
Low Power Verification Challenges
    at SoC level and solutions

2   Support of constructs to have robust power intent specification.




Soln:-
    –    Support for wild character
         •    Ex *iso_cel* for specifying always on signals
    –    Support of expressions for power control signals
         •    Ex: A xor B for shutdown.
    –    Supports specifying the source, destination and cell kind of constructs for always
         on path tracing.




                                                                                          25
Low Power Verification Challenges
    at SoC level and solutions

3 Handling Multi Vendor IPs (simulator specific Compiled RTL) with in-house
   logic in mixed HDL mode.


Soln:-
    –    RTL cannot be provided from external IP vendors
         •    Flow should not demand RTL
    –    Supports simple flow for delivery of IP DB readable by tool.
    –    Generation of power aware DB needs to be simple and no major changes to
         the existing IP flow required.
    –    UPF at IP level to be reusable in top level simulations




                                                                               26
Low Power Verification Challenges
    at SoC level and solutions

4   Support for Multiple Retention scheme, schemes could be vendor specific.


Soln:-
    –    Tool should be able to read the asic cell models of retention flops and generate
         the Power Intent.
    –    Input could also be given by a generic UPF format in the early stages of the
         design




                                                                                        27
Low Power Verification Challenges
    at SoC level and solutions

5 Low coverage at SoC level, cannot cover every flip flop and every signal by
    SoC level self checking scenario simulation.


Soln:-
    –    Use of built in assertions for the following cases can reduce the debugging time
         and help in capturing bugs, which can be missed by self checking testcases
         •    “X” propagation on always on paths
         •    Retention flop/Latch protocol violations during save or restore.
         •    Low Voltage wiggling indicators.
         •    Power Islands States and Sequence of Switching.




                                                                                            28
Low Power Verification Challenges
    at SoC level and solutions

6 Cross check with gate netlist.


Soln:-
    –    Extract the info about Retention flops, Latches, always on signals etc from RTL
         using the tool
    –    Extract similar info from a back end tool,
    –    Compare the two to confirm the implementation.




                                                                                           29
Low Power Verification Challenges
    at SoC level and solutions

7 Handling behavioral models and initial blocks


Soln:-
    –    Corrupting behaviar models not required as it takes unnecessary toll on
         performance
    –    Only output corruption is good enough
    –    Initial blocks need to be reevaluated on each wakeup




                                                                                   30

More Related Content

What's hot

Clock Tree Timing 101
Clock Tree Timing 101Clock Tree Timing 101
Clock Tree Timing 101Silicon Labs
 
Physical design
Physical design Physical design
Physical design Mantra VLSI
 
Timing and Design Closure in Physical Design Flows
Timing and Design Closure in Physical Design Flows Timing and Design Closure in Physical Design Flows
Timing and Design Closure in Physical Design Flows Olivier Coudert
 
ASIC Design Flow | Physical Design | VLSI
ASIC Design Flow | Physical Design | VLSI ASIC Design Flow | Physical Design | VLSI
ASIC Design Flow | Physical Design | VLSI Jayant Suthar
 
2019 5 testing and verification of vlsi design_fault_modeling
2019 5 testing and verification of vlsi design_fault_modeling2019 5 testing and verification of vlsi design_fault_modeling
2019 5 testing and verification of vlsi design_fault_modelingUsha Mehta
 
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation SystemSynopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation SystemMostafa Khamis
 
VLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyVLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyMurali Rai
 
Verification flow and_planning_vlsi_design
Verification flow and_planning_vlsi_designVerification flow and_planning_vlsi_design
Verification flow and_planning_vlsi_designUsha Mehta
 
Physical Design Flow Challenges at 28nm on Multi-million Gate Blocks
Physical Design Flow Challenges at 28nm on Multi-million Gate BlocksPhysical Design Flow Challenges at 28nm on Multi-million Gate Blocks
Physical Design Flow Challenges at 28nm on Multi-million Gate BlockseInfochips (An Arrow Company)
 
System On Chip
System On ChipSystem On Chip
System On ChipA B Shinde
 
Implementing Useful Clock Skew Using Skew Groups
Implementing Useful Clock Skew Using Skew GroupsImplementing Useful Clock Skew Using Skew Groups
Implementing Useful Clock Skew Using Skew GroupsM Mei
 
VLSI Physical Design Flow(http://www.vlsisystemdesign.com)
VLSI Physical Design Flow(http://www.vlsisystemdesign.com)VLSI Physical Design Flow(http://www.vlsisystemdesign.com)
VLSI Physical Design Flow(http://www.vlsisystemdesign.com)VLSI SYSTEM Design
 
Introduction of testing and verification of vlsi design
Introduction of testing and verification of vlsi designIntroduction of testing and verification of vlsi design
Introduction of testing and verification of vlsi designUsha Mehta
 

What's hot (20)

Clock Tree Timing 101
Clock Tree Timing 101Clock Tree Timing 101
Clock Tree Timing 101
 
Physical design
Physical design Physical design
Physical design
 
Timing and Design Closure in Physical Design Flows
Timing and Design Closure in Physical Design Flows Timing and Design Closure in Physical Design Flows
Timing and Design Closure in Physical Design Flows
 
Powerplanning
PowerplanningPowerplanning
Powerplanning
 
ASIC Design Flow | Physical Design | VLSI
ASIC Design Flow | Physical Design | VLSI ASIC Design Flow | Physical Design | VLSI
ASIC Design Flow | Physical Design | VLSI
 
2019 5 testing and verification of vlsi design_fault_modeling
2019 5 testing and verification of vlsi design_fault_modeling2019 5 testing and verification of vlsi design_fault_modeling
2019 5 testing and verification of vlsi design_fault_modeling
 
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation SystemSynopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
 
VLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyVLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool Terminalogy
 
ASIC design verification
ASIC design verificationASIC design verification
ASIC design verification
 
Verification flow and_planning_vlsi_design
Verification flow and_planning_vlsi_designVerification flow and_planning_vlsi_design
Verification flow and_planning_vlsi_design
 
Physical Design Flow Challenges at 28nm on Multi-million Gate Blocks
Physical Design Flow Challenges at 28nm on Multi-million Gate BlocksPhysical Design Flow Challenges at 28nm on Multi-million Gate Blocks
Physical Design Flow Challenges at 28nm on Multi-million Gate Blocks
 
ASIC DESIGN FLOW
ASIC DESIGN FLOWASIC DESIGN FLOW
ASIC DESIGN FLOW
 
Low Power VLSI Design
Low Power VLSI DesignLow Power VLSI Design
Low Power VLSI Design
 
System On Chip
System On ChipSystem On Chip
System On Chip
 
VLSI Power Reduction
VLSI Power ReductionVLSI Power Reduction
VLSI Power Reduction
 
Soc lect1
Soc lect1Soc lect1
Soc lect1
 
Physical design
Physical design Physical design
Physical design
 
Implementing Useful Clock Skew Using Skew Groups
Implementing Useful Clock Skew Using Skew GroupsImplementing Useful Clock Skew Using Skew Groups
Implementing Useful Clock Skew Using Skew Groups
 
VLSI Physical Design Flow(http://www.vlsisystemdesign.com)
VLSI Physical Design Flow(http://www.vlsisystemdesign.com)VLSI Physical Design Flow(http://www.vlsisystemdesign.com)
VLSI Physical Design Flow(http://www.vlsisystemdesign.com)
 
Introduction of testing and verification of vlsi design
Introduction of testing and verification of vlsi designIntroduction of testing and verification of vlsi design
Introduction of testing and verification of vlsi design
 

Similar to Approaches for Power Management Verification of SOC

SMT Verification of the POWER5 and POWER6 High-Performance Processors
SMT Verification of the POWER5 and POWER6 High-Performance ProcessorsSMT Verification of the POWER5 and POWER6 High-Performance Processors
SMT Verification of the POWER5 and POWER6 High-Performance ProcessorsDVClub
 
OPAL-RT RT13 Conference: Rapid control prototyping solutions for power electr...
OPAL-RT RT13 Conference: Rapid control prototyping solutions for power electr...OPAL-RT RT13 Conference: Rapid control prototyping solutions for power electr...
OPAL-RT RT13 Conference: Rapid control prototyping solutions for power electr...OPAL-RT TECHNOLOGIES
 
wd1-01-jaseel-madhusudan-pres-user
wd1-01-jaseel-madhusudan-pres-userwd1-01-jaseel-madhusudan-pres-user
wd1-01-jaseel-madhusudan-pres-userjaseel_abdulla
 
emips_overview_apr08
emips_overview_apr08emips_overview_apr08
emips_overview_apr08Neil Pittman
 
Lec13 Computer Architecture by Hsien-Hsin Sean Lee Georgia Tech -- Multicore
Lec13 Computer Architecture by Hsien-Hsin Sean Lee Georgia Tech -- MulticoreLec13 Computer Architecture by Hsien-Hsin Sean Lee Georgia Tech -- Multicore
Lec13 Computer Architecture by Hsien-Hsin Sean Lee Georgia Tech -- MulticoreHsien-Hsin Sean Lee, Ph.D.
 
Low Power Design and Verification
Low Power Design and VerificationLow Power Design and Verification
Low Power Design and VerificationDVClub
 
Low power design-ver_26_mar08
Low power design-ver_26_mar08Low power design-ver_26_mar08
Low power design-ver_26_mar08Obsidian Software
 
Scaling the Container Dataplane
Scaling the Container Dataplane Scaling the Container Dataplane
Scaling the Container Dataplane Michelle Holley
 
Instruction level power analysis
Instruction level power analysisInstruction level power analysis
Instruction level power analysisRadhegovind
 
Os Madsen Block
Os Madsen BlockOs Madsen Block
Os Madsen Blockoscon2007
 
Openflow overview
Openflow overviewOpenflow overview
Openflow overviewopenflowhub
 

Similar to Approaches for Power Management Verification of SOC (20)

Sharam salamian
Sharam salamianSharam salamian
Sharam salamian
 
SMT Verification of the POWER5 and POWER6 High-Performance Processors
SMT Verification of the POWER5 and POWER6 High-Performance ProcessorsSMT Verification of the POWER5 and POWER6 High-Performance Processors
SMT Verification of the POWER5 and POWER6 High-Performance Processors
 
Shultz dallas q108
Shultz dallas q108Shultz dallas q108
Shultz dallas q108
 
Schulz dallas q1_2008
Schulz dallas q1_2008Schulz dallas q1_2008
Schulz dallas q1_2008
 
OPAL-RT RT13 Conference: Rapid control prototyping solutions for power electr...
OPAL-RT RT13 Conference: Rapid control prototyping solutions for power electr...OPAL-RT RT13 Conference: Rapid control prototyping solutions for power electr...
OPAL-RT RT13 Conference: Rapid control prototyping solutions for power electr...
 
wd1-01-jaseel-madhusudan-pres-user
wd1-01-jaseel-madhusudan-pres-userwd1-01-jaseel-madhusudan-pres-user
wd1-01-jaseel-madhusudan-pres-user
 
Smart logic
Smart logicSmart logic
Smart logic
 
emips_overview_apr08
emips_overview_apr08emips_overview_apr08
emips_overview_apr08
 
Lec13 Computer Architecture by Hsien-Hsin Sean Lee Georgia Tech -- Multicore
Lec13 Computer Architecture by Hsien-Hsin Sean Lee Georgia Tech -- MulticoreLec13 Computer Architecture by Hsien-Hsin Sean Lee Georgia Tech -- Multicore
Lec13 Computer Architecture by Hsien-Hsin Sean Lee Georgia Tech -- Multicore
 
SOC design
SOC design SOC design
SOC design
 
Thesis Presentation
Thesis PresentationThesis Presentation
Thesis Presentation
 
Low Power Design and Verification
Low Power Design and VerificationLow Power Design and Verification
Low Power Design and Verification
 
Low power design-ver_26_mar08
Low power design-ver_26_mar08Low power design-ver_26_mar08
Low power design-ver_26_mar08
 
Khan and morrison_dq207
Khan and morrison_dq207Khan and morrison_dq207
Khan and morrison_dq207
 
FPGA workshop
FPGA workshopFPGA workshop
FPGA workshop
 
Scaling the Container Dataplane
Scaling the Container Dataplane Scaling the Container Dataplane
Scaling the Container Dataplane
 
Instruction level power analysis
Instruction level power analysisInstruction level power analysis
Instruction level power analysis
 
Os Madsen Block
Os Madsen BlockOs Madsen Block
Os Madsen Block
 
Openflow overview
Openflow overviewOpenflow overview
Openflow overview
 
CPLDs
CPLDsCPLDs
CPLDs
 

More from DVClub

IP Reuse Impact on Design Verification Management Across the Enterprise
IP Reuse Impact on Design Verification Management Across the EnterpriseIP Reuse Impact on Design Verification Management Across the Enterprise
IP Reuse Impact on Design Verification Management Across the EnterpriseDVClub
 
Cisco Base Environment Overview
Cisco Base Environment OverviewCisco Base Environment Overview
Cisco Base Environment OverviewDVClub
 
Intel Xeon Pre-Silicon Validation: Introduction and Challenges
Intel Xeon Pre-Silicon Validation: Introduction and ChallengesIntel Xeon Pre-Silicon Validation: Introduction and Challenges
Intel Xeon Pre-Silicon Validation: Introduction and ChallengesDVClub
 
Verification of Graphics ASICs (Part II)
Verification of Graphics ASICs (Part II)Verification of Graphics ASICs (Part II)
Verification of Graphics ASICs (Part II)DVClub
 
Verification of Graphics ASICs (Part I)
Verification of Graphics ASICs (Part I)Verification of Graphics ASICs (Part I)
Verification of Graphics ASICs (Part I)DVClub
 
Stop Writing Assertions! Efficient Verification Methodology
Stop Writing Assertions! Efficient Verification MethodologyStop Writing Assertions! Efficient Verification Methodology
Stop Writing Assertions! Efficient Verification MethodologyDVClub
 
Validating Next Generation CPUs
Validating Next Generation CPUsValidating Next Generation CPUs
Validating Next Generation CPUsDVClub
 
Verification Automation Using IPXACT
Verification Automation Using IPXACTVerification Automation Using IPXACT
Verification Automation Using IPXACTDVClub
 
Validation and Design in a Small Team Environment
Validation and Design in a Small Team EnvironmentValidation and Design in a Small Team Environment
Validation and Design in a Small Team EnvironmentDVClub
 
Trends in Mixed Signal Validation
Trends in Mixed Signal ValidationTrends in Mixed Signal Validation
Trends in Mixed Signal ValidationDVClub
 
Verification In A Global Design Community
Verification In A Global Design CommunityVerification In A Global Design Community
Verification In A Global Design CommunityDVClub
 
Design Verification Using SystemC
Design Verification Using SystemCDesign Verification Using SystemC
Design Verification Using SystemCDVClub
 
Verification Strategy for PCI-Express
Verification Strategy for PCI-ExpressVerification Strategy for PCI-Express
Verification Strategy for PCI-ExpressDVClub
 
SystemVerilog Assertions (SVA) in the Design/Verification Process
SystemVerilog Assertions (SVA) in the Design/Verification ProcessSystemVerilog Assertions (SVA) in the Design/Verification Process
SystemVerilog Assertions (SVA) in the Design/Verification ProcessDVClub
 
Efficiency Through Methodology
Efficiency Through MethodologyEfficiency Through Methodology
Efficiency Through MethodologyDVClub
 
Pre-Si Verification for Post-Si Validation
Pre-Si Verification for Post-Si ValidationPre-Si Verification for Post-Si Validation
Pre-Si Verification for Post-Si ValidationDVClub
 
OpenSPARC T1 Processor
OpenSPARC T1 ProcessorOpenSPARC T1 Processor
OpenSPARC T1 ProcessorDVClub
 
Intel Atom Processor Pre-Silicon Verification Experience
Intel Atom Processor Pre-Silicon Verification ExperienceIntel Atom Processor Pre-Silicon Verification Experience
Intel Atom Processor Pre-Silicon Verification ExperienceDVClub
 
Using Assertions in AMS Verification
Using Assertions in AMS VerificationUsing Assertions in AMS Verification
Using Assertions in AMS VerificationDVClub
 
UVM Update: Register Package
UVM Update: Register PackageUVM Update: Register Package
UVM Update: Register PackageDVClub
 

More from DVClub (20)

IP Reuse Impact on Design Verification Management Across the Enterprise
IP Reuse Impact on Design Verification Management Across the EnterpriseIP Reuse Impact on Design Verification Management Across the Enterprise
IP Reuse Impact on Design Verification Management Across the Enterprise
 
Cisco Base Environment Overview
Cisco Base Environment OverviewCisco Base Environment Overview
Cisco Base Environment Overview
 
Intel Xeon Pre-Silicon Validation: Introduction and Challenges
Intel Xeon Pre-Silicon Validation: Introduction and ChallengesIntel Xeon Pre-Silicon Validation: Introduction and Challenges
Intel Xeon Pre-Silicon Validation: Introduction and Challenges
 
Verification of Graphics ASICs (Part II)
Verification of Graphics ASICs (Part II)Verification of Graphics ASICs (Part II)
Verification of Graphics ASICs (Part II)
 
Verification of Graphics ASICs (Part I)
Verification of Graphics ASICs (Part I)Verification of Graphics ASICs (Part I)
Verification of Graphics ASICs (Part I)
 
Stop Writing Assertions! Efficient Verification Methodology
Stop Writing Assertions! Efficient Verification MethodologyStop Writing Assertions! Efficient Verification Methodology
Stop Writing Assertions! Efficient Verification Methodology
 
Validating Next Generation CPUs
Validating Next Generation CPUsValidating Next Generation CPUs
Validating Next Generation CPUs
 
Verification Automation Using IPXACT
Verification Automation Using IPXACTVerification Automation Using IPXACT
Verification Automation Using IPXACT
 
Validation and Design in a Small Team Environment
Validation and Design in a Small Team EnvironmentValidation and Design in a Small Team Environment
Validation and Design in a Small Team Environment
 
Trends in Mixed Signal Validation
Trends in Mixed Signal ValidationTrends in Mixed Signal Validation
Trends in Mixed Signal Validation
 
Verification In A Global Design Community
Verification In A Global Design CommunityVerification In A Global Design Community
Verification In A Global Design Community
 
Design Verification Using SystemC
Design Verification Using SystemCDesign Verification Using SystemC
Design Verification Using SystemC
 
Verification Strategy for PCI-Express
Verification Strategy for PCI-ExpressVerification Strategy for PCI-Express
Verification Strategy for PCI-Express
 
SystemVerilog Assertions (SVA) in the Design/Verification Process
SystemVerilog Assertions (SVA) in the Design/Verification ProcessSystemVerilog Assertions (SVA) in the Design/Verification Process
SystemVerilog Assertions (SVA) in the Design/Verification Process
 
Efficiency Through Methodology
Efficiency Through MethodologyEfficiency Through Methodology
Efficiency Through Methodology
 
Pre-Si Verification for Post-Si Validation
Pre-Si Verification for Post-Si ValidationPre-Si Verification for Post-Si Validation
Pre-Si Verification for Post-Si Validation
 
OpenSPARC T1 Processor
OpenSPARC T1 ProcessorOpenSPARC T1 Processor
OpenSPARC T1 Processor
 
Intel Atom Processor Pre-Silicon Verification Experience
Intel Atom Processor Pre-Silicon Verification ExperienceIntel Atom Processor Pre-Silicon Verification Experience
Intel Atom Processor Pre-Silicon Verification Experience
 
Using Assertions in AMS Verification
Using Assertions in AMS VerificationUsing Assertions in AMS Verification
Using Assertions in AMS Verification
 
UVM Update: Register Package
UVM Update: Register PackageUVM Update: Register Package
UVM Update: Register Package
 

Recently uploaded

Why Teams call analytics are critical to your entire business
Why Teams call analytics are critical to your entire businessWhy Teams call analytics are critical to your entire business
Why Teams call analytics are critical to your entire businesspanagenda
 
Corporate and higher education May webinar.pptx
Corporate and higher education May webinar.pptxCorporate and higher education May webinar.pptx
Corporate and higher education May webinar.pptxRustici Software
 
WSO2 Micro Integrator for Enterprise Integration in a Decentralized, Microser...
WSO2 Micro Integrator for Enterprise Integration in a Decentralized, Microser...WSO2 Micro Integrator for Enterprise Integration in a Decentralized, Microser...
WSO2 Micro Integrator for Enterprise Integration in a Decentralized, Microser...WSO2
 
The Zero-ETL Approach: Enhancing Data Agility and Insight
The Zero-ETL Approach: Enhancing Data Agility and InsightThe Zero-ETL Approach: Enhancing Data Agility and Insight
The Zero-ETL Approach: Enhancing Data Agility and InsightSafe Software
 
Stronger Together: Developing an Organizational Strategy for Accessible Desig...
Stronger Together: Developing an Organizational Strategy for Accessible Desig...Stronger Together: Developing an Organizational Strategy for Accessible Desig...
Stronger Together: Developing an Organizational Strategy for Accessible Desig...caitlingebhard1
 
Introduction to use of FHIR Documents in ABDM
Introduction to use of FHIR Documents in ABDMIntroduction to use of FHIR Documents in ABDM
Introduction to use of FHIR Documents in ABDMKumar Satyam
 
Design and Development of a Provenance Capture Platform for Data Science
Design and Development of a Provenance Capture Platform for Data ScienceDesign and Development of a Provenance Capture Platform for Data Science
Design and Development of a Provenance Capture Platform for Data SciencePaolo Missier
 
Navigating the Deluge_ Dubai Floods and the Resilience of Dubai International...
Navigating the Deluge_ Dubai Floods and the Resilience of Dubai International...Navigating the Deluge_ Dubai Floods and the Resilience of Dubai International...
Navigating the Deluge_ Dubai Floods and the Resilience of Dubai International...Orbitshub
 
Vector Search -An Introduction in Oracle Database 23ai.pptx
Vector Search -An Introduction in Oracle Database 23ai.pptxVector Search -An Introduction in Oracle Database 23ai.pptx
Vector Search -An Introduction in Oracle Database 23ai.pptxRemote DBA Services
 
Navigating Identity and Access Management in the Modern Enterprise
Navigating Identity and Access Management in the Modern EnterpriseNavigating Identity and Access Management in the Modern Enterprise
Navigating Identity and Access Management in the Modern EnterpriseWSO2
 
How to Check CNIC Information Online with Pakdata cf
How to Check CNIC Information Online with Pakdata cfHow to Check CNIC Information Online with Pakdata cf
How to Check CNIC Information Online with Pakdata cfdanishmna97
 
JavaScript Usage Statistics 2024 - The Ultimate Guide
JavaScript Usage Statistics 2024 - The Ultimate GuideJavaScript Usage Statistics 2024 - The Ultimate Guide
JavaScript Usage Statistics 2024 - The Ultimate GuidePixlogix Infotech
 
AWS Community Day CPH - Three problems of Terraform
AWS Community Day CPH - Three problems of TerraformAWS Community Day CPH - Three problems of Terraform
AWS Community Day CPH - Three problems of TerraformAndrey Devyatkin
 
Elevate Developer Efficiency & build GenAI Application with Amazon Q​
Elevate Developer Efficiency & build GenAI Application with Amazon Q​Elevate Developer Efficiency & build GenAI Application with Amazon Q​
Elevate Developer Efficiency & build GenAI Application with Amazon Q​Bhuvaneswari Subramani
 
JohnPollard-hybrid-app-RailsConf2024.pptx
JohnPollard-hybrid-app-RailsConf2024.pptxJohnPollard-hybrid-app-RailsConf2024.pptx
JohnPollard-hybrid-app-RailsConf2024.pptxJohnPollard37
 
Decarbonising Commercial Real Estate: The Role of Operational Performance
Decarbonising Commercial Real Estate: The Role of Operational PerformanceDecarbonising Commercial Real Estate: The Role of Operational Performance
Decarbonising Commercial Real Estate: The Role of Operational PerformanceIES VE
 
Choreo: Empowering the Future of Enterprise Software Engineering
Choreo: Empowering the Future of Enterprise Software EngineeringChoreo: Empowering the Future of Enterprise Software Engineering
Choreo: Empowering the Future of Enterprise Software EngineeringWSO2
 
Six Myths about Ontologies: The Basics of Formal Ontology
Six Myths about Ontologies: The Basics of Formal OntologySix Myths about Ontologies: The Basics of Formal Ontology
Six Myths about Ontologies: The Basics of Formal Ontologyjohnbeverley2021
 
Repurposing LNG terminals for Hydrogen Ammonia: Feasibility and Cost Saving
Repurposing LNG terminals for Hydrogen Ammonia: Feasibility and Cost SavingRepurposing LNG terminals for Hydrogen Ammonia: Feasibility and Cost Saving
Repurposing LNG terminals for Hydrogen Ammonia: Feasibility and Cost SavingEdi Saputra
 
Platformless Horizons for Digital Adaptability
Platformless Horizons for Digital AdaptabilityPlatformless Horizons for Digital Adaptability
Platformless Horizons for Digital AdaptabilityWSO2
 

Recently uploaded (20)

Why Teams call analytics are critical to your entire business
Why Teams call analytics are critical to your entire businessWhy Teams call analytics are critical to your entire business
Why Teams call analytics are critical to your entire business
 
Corporate and higher education May webinar.pptx
Corporate and higher education May webinar.pptxCorporate and higher education May webinar.pptx
Corporate and higher education May webinar.pptx
 
WSO2 Micro Integrator for Enterprise Integration in a Decentralized, Microser...
WSO2 Micro Integrator for Enterprise Integration in a Decentralized, Microser...WSO2 Micro Integrator for Enterprise Integration in a Decentralized, Microser...
WSO2 Micro Integrator for Enterprise Integration in a Decentralized, Microser...
 
The Zero-ETL Approach: Enhancing Data Agility and Insight
The Zero-ETL Approach: Enhancing Data Agility and InsightThe Zero-ETL Approach: Enhancing Data Agility and Insight
The Zero-ETL Approach: Enhancing Data Agility and Insight
 
Stronger Together: Developing an Organizational Strategy for Accessible Desig...
Stronger Together: Developing an Organizational Strategy for Accessible Desig...Stronger Together: Developing an Organizational Strategy for Accessible Desig...
Stronger Together: Developing an Organizational Strategy for Accessible Desig...
 
Introduction to use of FHIR Documents in ABDM
Introduction to use of FHIR Documents in ABDMIntroduction to use of FHIR Documents in ABDM
Introduction to use of FHIR Documents in ABDM
 
Design and Development of a Provenance Capture Platform for Data Science
Design and Development of a Provenance Capture Platform for Data ScienceDesign and Development of a Provenance Capture Platform for Data Science
Design and Development of a Provenance Capture Platform for Data Science
 
Navigating the Deluge_ Dubai Floods and the Resilience of Dubai International...
Navigating the Deluge_ Dubai Floods and the Resilience of Dubai International...Navigating the Deluge_ Dubai Floods and the Resilience of Dubai International...
Navigating the Deluge_ Dubai Floods and the Resilience of Dubai International...
 
Vector Search -An Introduction in Oracle Database 23ai.pptx
Vector Search -An Introduction in Oracle Database 23ai.pptxVector Search -An Introduction in Oracle Database 23ai.pptx
Vector Search -An Introduction in Oracle Database 23ai.pptx
 
Navigating Identity and Access Management in the Modern Enterprise
Navigating Identity and Access Management in the Modern EnterpriseNavigating Identity and Access Management in the Modern Enterprise
Navigating Identity and Access Management in the Modern Enterprise
 
How to Check CNIC Information Online with Pakdata cf
How to Check CNIC Information Online with Pakdata cfHow to Check CNIC Information Online with Pakdata cf
How to Check CNIC Information Online with Pakdata cf
 
JavaScript Usage Statistics 2024 - The Ultimate Guide
JavaScript Usage Statistics 2024 - The Ultimate GuideJavaScript Usage Statistics 2024 - The Ultimate Guide
JavaScript Usage Statistics 2024 - The Ultimate Guide
 
AWS Community Day CPH - Three problems of Terraform
AWS Community Day CPH - Three problems of TerraformAWS Community Day CPH - Three problems of Terraform
AWS Community Day CPH - Three problems of Terraform
 
Elevate Developer Efficiency & build GenAI Application with Amazon Q​
Elevate Developer Efficiency & build GenAI Application with Amazon Q​Elevate Developer Efficiency & build GenAI Application with Amazon Q​
Elevate Developer Efficiency & build GenAI Application with Amazon Q​
 
JohnPollard-hybrid-app-RailsConf2024.pptx
JohnPollard-hybrid-app-RailsConf2024.pptxJohnPollard-hybrid-app-RailsConf2024.pptx
JohnPollard-hybrid-app-RailsConf2024.pptx
 
Decarbonising Commercial Real Estate: The Role of Operational Performance
Decarbonising Commercial Real Estate: The Role of Operational PerformanceDecarbonising Commercial Real Estate: The Role of Operational Performance
Decarbonising Commercial Real Estate: The Role of Operational Performance
 
Choreo: Empowering the Future of Enterprise Software Engineering
Choreo: Empowering the Future of Enterprise Software EngineeringChoreo: Empowering the Future of Enterprise Software Engineering
Choreo: Empowering the Future of Enterprise Software Engineering
 
Six Myths about Ontologies: The Basics of Formal Ontology
Six Myths about Ontologies: The Basics of Formal OntologySix Myths about Ontologies: The Basics of Formal Ontology
Six Myths about Ontologies: The Basics of Formal Ontology
 
Repurposing LNG terminals for Hydrogen Ammonia: Feasibility and Cost Saving
Repurposing LNG terminals for Hydrogen Ammonia: Feasibility and Cost SavingRepurposing LNG terminals for Hydrogen Ammonia: Feasibility and Cost Saving
Repurposing LNG terminals for Hydrogen Ammonia: Feasibility and Cost Saving
 
Platformless Horizons for Digital Adaptability
Platformless Horizons for Digital AdaptabilityPlatformless Horizons for Digital Adaptability
Platformless Horizons for Digital Adaptability
 

Approaches for Power Management Verification of SOC

  • 1. Approaches for Power Management verification of SoC having dynamic power and voltage switching Prabhu Bhairi Texas Instruments 1
  • 2. Agenda •  Overview of low power design •  Why low power verification? •  Limitation of traditional simulators. •  Tools and flows at various stages of design cycle –  Flow details –  Pros’ con’s •  Conclusion 2
  • 3. Typical Low Power Design Desc. •  Design Size > 20 Million gates •  Multiple Voltage Domains and Power Domains •  Many Always ON Paths •  Lots of Power Switches, Isolations and Level Shifters and Always On buffers •  Many Retention Flops •  Power Management : –  Shutdown/Sleep: Voltage Domains and Power Domains –  Retention Schemes: Multiple retention flops •  IP Intensive –  More than 100 IP’s
  • 4. Dynamic Power and voltage switching ON OFF On State LP State1 PD1 PD2 VD1 PD1 PD2 VD1 Always Always PD3 VD2 PD3 VD2 on on LP State3 LP State2 PD1 PD2 VD1 PD1 PD2 VD1 Always Always PD3 PD3 VD2 on on
  • 5. Limitations of Traditional Simulators •  Limitations –  There is no mechanism to partition design into multiple voltages and domains. –  Traditional simulators insensitive to power states of the device. –  Simulator engines does not recognize 1.  Voltage changes. 2.  Retention behavior of logic/memory 5
  • 6. What is power aware Simulation? •  What is Power Aware Simulation ? –  Mimicking the power down/wakeup behavior at RTL/Gate level simulation. •  Why is Power Aware Simulation needed ? –  Today’s complex SoC designs have considerable logic implemented for Power Management. –  Most of the PM logic can be implemented at RTL/Gate level. –  Important to find the critical bugs at early stages in the design cycle.
  • 7. Approaches of Low power verification 1.  ynamic/simulator based verification D 2.  tatic/Structural Verification S 7
  • 8. Dynamic/simulator based verification approaches 1.  Simulator platforms –  RTL level(PARTL) : Power Aware RTL simulations-UPF/PCF/CPF –  Gate Level(PAGLS): Power Aware gate level simulations 2.  Emulator platform –  RTL Level : Power aware verification UPF/PCF/CPF based –  Gate Level: Power aware gate on accelerator platforms (Zero delay) 8
  • 9. Top Level SoC External IP RTL+ Internal RTL IP’s IP level Flow Compilation Compiled RTL Compilation Deliverable to SoC team Simulation External IP flow 9 SoC flow
  • 10. Top level SoC RTL External IP HM Power + internal IP’s RTL Intent IP Level Flow Compile Compile Top level Compiled Power Intent library Compiled library PA generator Simulator + PLI External IP flow Assertions SoC flow 10
  • 11. Requirement of PARTL tools for SoC 1.  Standard, inheritable and reusable (across all phases of the design cycle) power constraint specification 2.  The constructs to have robust power intent specification 3.  Handling Multi Vendor IPs (simulator specific Compiled RTL) with in-house logic in mixed HDL mode. 4.  The Multiple Retention scheme, schemes could be vendor specific. 5.  Low coverage at SoC level, cannot cover every flip flop and every signal by SoC level self checking scenario simulation. 1.  Support of assertions 6.  Extract the info about Retention flops, Latches, always on signals etc from RTL using the tool 7.  Handling behavioral models. 11
  • 12. Pro’s and Con’s of PARTL •  Pro’s. –  Highlight issues very early in design cycle- Before RTL freeze. –  Easy to debug compared to other platforms. –  Run times are better than PAGLS •  Con’s –  No mechanism to validate the PCF files. –  Run time 2 to 3x slower than normal RTL simulation –  Tools are not very robust yet. 12
  • 13. What is power aware Gate •  What is Power Aware gate? – It is a netlist with power switches and cells with power pins •  Why is Power Aware gate? – Lot of power management features will be implemented by BE tools . – This netlist has all the switches and power connection so can catch any potential issue in power feature implementation
  • 14. External IP Top Level SoC power Netlist Power Netlist IP level Flow Compile Power aware modeled cell Compiled libraries Compilation library Deliverable to SoC team Simulation External IP flow 14 SoC flow
  • 15. Pro’s and Con’s of PAGLS •  Pro’s. –  Very close to final design hence best candidate to catch issues. –  Will catch any issue in BE implementations and power constraint file issues –  No Power constraint creation effort •  Con’s –  Run time and memory foot print 4 to 5x slower compared to PARTL •  Netlist is ~2 times bigger than normal netlist –  Very late in the design cycle. –  Debugging is very difficult. –  Developing the power aware library models is effort intensive. 15
  • 16. Power aware emulations with RTL Enable better Run application PM feature space Faster run time ? scenarios ? coverage! How? Use an emulation platform!!! 16
  • 17. Power-Aware Emulation Target cycle time reduction here 17
  • 18. External IP Top Level SoC power netlist Power netlist IP level Flow synthesis Emulator data base Compilation Power aware Emulator lib cells Deliverable to SoC team Emulator run External IP flow 18 SoC flow
  • 19. Advantages •  Randomized values may create a worst case scenario compared to “x” in simulations •  Inherently faster platform. •  System level use-cases for PA features can be planned and executed faster. •  Enables us to do full coverage due to the speed the platform offers. Limitations •  There is no real “x” hence few fails may be masked •  Many features not yet fully supported on production version in Emulations platforms •  Debugging is tedious •  Vulnerable to power constraints issues like PARTL if Emulation RTL flow is used 19
  • 20. Static/Structure verification 1.  Lint tools to verify PM connectivity 2.  Static low power verification on power netlist 3.  STA based static checks 20
  • 21. Conclusion •  Low power requirements have undoubtedly exposed a new challenge in DV/EDA community. •  Lot of flows and EDA support already exist. –  Each of them have there own benefit and limitations •  Given all this Silicon still remains the best platform for low power verification, •  Pre SI DV: we just do not have a perfect solution today because of enormous complexity in the design. we should continue focus on improvement on flows and tools. •  Simulation speed with low power enabled worsens even more. 21
  • 22. BACK UP 22
  • 23. Key words in low power implementation •  Power domain •  Voltage domain •  Isolation cell –  Tie cell, ISO latch •  Level shifter •  Retention flip/flop, latch •  Retention memory •  Power switch •  Wakeups •  Always on logics/domains •  IO iso/wakeup 23
  • 24. Low Power Verification Challenges at SoC level and solutions 1.  Standard, inheritable and reusable (across all phases of the design cycle) power constraint specification Soln:- –  Supports the standard power specification format (like UPF) –  If any legacy power intent is specified for an IP •  Ex: APF->UPF, PCF->UPF conversion is seamless to user. 24
  • 25. Low Power Verification Challenges at SoC level and solutions 2 Support of constructs to have robust power intent specification. Soln:- –  Support for wild character •  Ex *iso_cel* for specifying always on signals –  Support of expressions for power control signals •  Ex: A xor B for shutdown. –  Supports specifying the source, destination and cell kind of constructs for always on path tracing. 25
  • 26. Low Power Verification Challenges at SoC level and solutions 3 Handling Multi Vendor IPs (simulator specific Compiled RTL) with in-house logic in mixed HDL mode. Soln:- –  RTL cannot be provided from external IP vendors •  Flow should not demand RTL –  Supports simple flow for delivery of IP DB readable by tool. –  Generation of power aware DB needs to be simple and no major changes to the existing IP flow required. –  UPF at IP level to be reusable in top level simulations 26
  • 27. Low Power Verification Challenges at SoC level and solutions 4 Support for Multiple Retention scheme, schemes could be vendor specific. Soln:- –  Tool should be able to read the asic cell models of retention flops and generate the Power Intent. –  Input could also be given by a generic UPF format in the early stages of the design 27
  • 28. Low Power Verification Challenges at SoC level and solutions 5 Low coverage at SoC level, cannot cover every flip flop and every signal by SoC level self checking scenario simulation. Soln:- –  Use of built in assertions for the following cases can reduce the debugging time and help in capturing bugs, which can be missed by self checking testcases •  “X” propagation on always on paths •  Retention flop/Latch protocol violations during save or restore. •  Low Voltage wiggling indicators. •  Power Islands States and Sequence of Switching. 28
  • 29. Low Power Verification Challenges at SoC level and solutions 6 Cross check with gate netlist. Soln:- –  Extract the info about Retention flops, Latches, always on signals etc from RTL using the tool –  Extract similar info from a back end tool, –  Compare the two to confirm the implementation. 29
  • 30. Low Power Verification Challenges at SoC level and solutions 7 Handling behavioral models and initial blocks Soln:- –  Corrupting behaviar models not required as it takes unnecessary toll on performance –  Only output corruption is good enough –  Initial blocks need to be reevaluated on each wakeup 30