SlideShare a Scribd company logo
Presented by: 
KAUSHAL KUMAR(10/IEC/023 
MOHIT KARDAM(10/IEC/029)
 The clock distribution network is the metal and buffer 
network that distribute clock to all clocked element. 
 A clock generator is a circuit that produces a timing signal 
for use in synchronizing a system’s operation. 
 At its most basic level, a clock generator consists of a 
resonant circuit and an amplifier. 
 The resonant circuit is usually a quartz piezo-electric 
oscillator or MEMS resonator, although simpler tank circuits 
and even RC circuits may be used in some cases.
 Need to support higher clock frequency 
based on the strong correlation between 
frequency and chip performance. 
 Process technology scaling allows higher level 
of integration and larger die size leading to 
higher clock loading and larger distances the 
clock network need to traverse.
 Skew :It is a phenomenon in synchronous 
circuits in which the clock signal arrives at 
different components at different times. 
FIG : Clock Skew
 Clock skew is due to the unbalanced of 
the data. 
 Strategies to remove skew 
 Locate all clock inputs close together; but it is difficult 
to implement in a large circuit. 
 Drive them from the same source & balance the delays
 Jitter:It is the cycle time variation of consecutive 
clock periods. 
 Power Dissipation: 
FIG : Jitter in clock 
- clock node consumes more power than any other 
nodes on the chip. 
- on a μp, clock tree dissipate 40% of total power.
 Unconstrained Tree: 
 No constraints imposed on buffers and wires. 
 Used mostly by automatic tools in automatic 
synthesis flows. 
 Can be used for small blocks within large design. 
clk1 
clk2 
clk3 
clkn 
FIG : Unconstrained tree clock network
 Balanced tree: 
 The length of interconnects is identical from the 
source node n + 1 to the two destination nodes n. 
 The primary delay difference among the clock 
signal paths is due to the variations of process 
parameters affecting 
 Interconnect impedance 
 Characteristics of buffer 
 This structure is difficult to implement in 
practice due to routing constraints and 
different fan-out requirements.
FIG: Balanced H-tree clock network
 Central spine : 
 It is a specific implementation of a binary tree. 
 The clock can be transported in a balanced 
fashion across one dimension of the die with low 
structural skew. 
FIG : Central clock spine distribution
 Spines with Matched Branches: 
 An extension of the central spine structure can 
be realize by replacing the unconstrained end-of-distribution 
branches with delay matched routes. 
 The longest branch determines the delay from 
the output of the central spine to the end loads. 
FIG : Multiple clock spines with matched branches
 Grid : 
 This clock grid resembles a mesh with fully 
connected clock tracks in both dimensions and 
grid drivers located on all four sides. 
 Usually a custom implementation, simple to 
build. 
 Insensitive to load changes. 
 Dissipate more power.
FIG : Clock grid with 2-dimensional clock drivers
 Hybrid Distribution: 
 It is the combination of all the topologies. 
 Common configurations are spines-grid 
distribution or tree-grid distribution. 
 It employs a multilevel H-tree driving a common 
grid. 
FIG : Clock grid with 1-dimensional drivers
Clock distribution

More Related Content

What's hot

ASIC DESIGN : PLACEMENT
ASIC DESIGN : PLACEMENTASIC DESIGN : PLACEMENT
ASIC DESIGN : PLACEMENT
helloactiva
 
Low power vlsi design
Low power vlsi designLow power vlsi design
Low power vlsi design
Vinchipsytm Vlsitraining
 
Crosstalk
CrosstalkCrosstalk
Crosstalk
Manikanta Gogula
 
Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)
shaik sharief
 
Low Power VLSI Design
Low Power VLSI DesignLow Power VLSI Design
Low Power VLSI Design
Mahesh Dananjaya
 
EC6601 VLSI Design Memory Circuits
EC6601 VLSI Design   Memory CircuitsEC6601 VLSI Design   Memory Circuits
EC6601 VLSI Design Memory Circuits
chitrarengasamy
 
Sta by usha_mehta
Sta by usha_mehtaSta by usha_mehta
Sta by usha_mehta
Usha Mehta
 
VLSI Power Reduction
VLSI Power ReductionVLSI Power Reduction
VLSI Power Reduction
Mahesh Dananjaya
 
Intellectual property in vlsi
Intellectual property in vlsiIntellectual property in vlsi
Intellectual property in vlsi
Saransh Choudhary
 
Asic
AsicAsic
faults in digital systems
faults in digital systemsfaults in digital systems
faults in digital systems
dennis gookyi
 
DPCM
DPCMDPCM
Trends and challenges in vlsi
Trends and challenges in vlsiTrends and challenges in vlsi
Trends and challenges in vlsi
labishettybhanu
 
Placement in VLSI Design
Placement in VLSI DesignPlacement in VLSI Design
Placement in VLSI Design
Team-VLSI-ITMU
 
DRAM
DRAMDRAM
Vlsi design flow
Vlsi design flowVlsi design flow
Vlsi design flow
Rajendra Kumar
 
Vlsi physical design-notes
Vlsi physical design-notesVlsi physical design-notes
Vlsi physical design-notes
Dr.YNM
 
SOC Interconnects: AMBA & CoreConnect
SOC Interconnects: AMBA  & CoreConnectSOC Interconnects: AMBA  & CoreConnect
SOC Interconnects: AMBA & CoreConnect
A B Shinde
 
Eco
EcoEco
Interconnect timing model
Interconnect  timing modelInterconnect  timing model
Interconnect timing model
Prachi Pandey
 

What's hot (20)

ASIC DESIGN : PLACEMENT
ASIC DESIGN : PLACEMENTASIC DESIGN : PLACEMENT
ASIC DESIGN : PLACEMENT
 
Low power vlsi design
Low power vlsi designLow power vlsi design
Low power vlsi design
 
Crosstalk
CrosstalkCrosstalk
Crosstalk
 
Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)
 
Low Power VLSI Design
Low Power VLSI DesignLow Power VLSI Design
Low Power VLSI Design
 
EC6601 VLSI Design Memory Circuits
EC6601 VLSI Design   Memory CircuitsEC6601 VLSI Design   Memory Circuits
EC6601 VLSI Design Memory Circuits
 
Sta by usha_mehta
Sta by usha_mehtaSta by usha_mehta
Sta by usha_mehta
 
VLSI Power Reduction
VLSI Power ReductionVLSI Power Reduction
VLSI Power Reduction
 
Intellectual property in vlsi
Intellectual property in vlsiIntellectual property in vlsi
Intellectual property in vlsi
 
Asic
AsicAsic
Asic
 
faults in digital systems
faults in digital systemsfaults in digital systems
faults in digital systems
 
DPCM
DPCMDPCM
DPCM
 
Trends and challenges in vlsi
Trends and challenges in vlsiTrends and challenges in vlsi
Trends and challenges in vlsi
 
Placement in VLSI Design
Placement in VLSI DesignPlacement in VLSI Design
Placement in VLSI Design
 
DRAM
DRAMDRAM
DRAM
 
Vlsi design flow
Vlsi design flowVlsi design flow
Vlsi design flow
 
Vlsi physical design-notes
Vlsi physical design-notesVlsi physical design-notes
Vlsi physical design-notes
 
SOC Interconnects: AMBA & CoreConnect
SOC Interconnects: AMBA  & CoreConnectSOC Interconnects: AMBA  & CoreConnect
SOC Interconnects: AMBA & CoreConnect
 
Eco
EcoEco
Eco
 
Interconnect timing model
Interconnect  timing modelInterconnect  timing model
Interconnect timing model
 

Viewers also liked

Physical design-complete
Physical design-completePhysical design-complete
Physical design-complete
Murali Rai
 
Regular buffer v/s Clock buffer
Regular buffer v/s Clock bufferRegular buffer v/s Clock buffer
Regular buffer v/s Clock buffer
kunal ghosh (vlsisystemdesign.com)
 
Clock Tree Timing 101
Clock Tree Timing 101Clock Tree Timing 101
Clock Tree Timing 101
Silicon Labs
 
Synchronous and asynchronous reset
Synchronous and asynchronous resetSynchronous and asynchronous reset
Synchronous and asynchronous reset
Nallapati Anindra
 
Implementing Useful Clock Skew Using Skew Groups
Implementing Useful Clock Skew Using Skew GroupsImplementing Useful Clock Skew Using Skew Groups
Implementing Useful Clock Skew Using Skew Groups
M Mei
 
Clock gating
Clock gatingClock gating
Clock gating
Mahi
 
Synchronous and asynchronous clock
Synchronous and asynchronous clockSynchronous and asynchronous clock
Synchronous and asynchronous clock
Nallapati Anindra
 
Phylogenetic analysis
Phylogenetic analysisPhylogenetic analysis
Phylogenetic analysis
National Institute of Biologics
 

Viewers also liked (8)

Physical design-complete
Physical design-completePhysical design-complete
Physical design-complete
 
Regular buffer v/s Clock buffer
Regular buffer v/s Clock bufferRegular buffer v/s Clock buffer
Regular buffer v/s Clock buffer
 
Clock Tree Timing 101
Clock Tree Timing 101Clock Tree Timing 101
Clock Tree Timing 101
 
Synchronous and asynchronous reset
Synchronous and asynchronous resetSynchronous and asynchronous reset
Synchronous and asynchronous reset
 
Implementing Useful Clock Skew Using Skew Groups
Implementing Useful Clock Skew Using Skew GroupsImplementing Useful Clock Skew Using Skew Groups
Implementing Useful Clock Skew Using Skew Groups
 
Clock gating
Clock gatingClock gating
Clock gating
 
Synchronous and asynchronous clock
Synchronous and asynchronous clockSynchronous and asynchronous clock
Synchronous and asynchronous clock
 
Phylogenetic analysis
Phylogenetic analysisPhylogenetic analysis
Phylogenetic analysis
 

Similar to Clock distribution

TIMING ISSUES IN DIGITAL CIRCUITS: SYNCHRONOUS DESIGN
TIMING ISSUES IN DIGITAL CIRCUITS: SYNCHRONOUS DESIGNTIMING ISSUES IN DIGITAL CIRCUITS: SYNCHRONOUS DESIGN
TIMING ISSUES IN DIGITAL CIRCUITS: SYNCHRONOUS DESIGN
shrutishreya14
 
Design of -- Two phase non overlapping low frequency clock generator using Ca...
Design of -- Two phase non overlapping low frequency clock generator using Ca...Design of -- Two phase non overlapping low frequency clock generator using Ca...
Design of -- Two phase non overlapping low frequency clock generator using Ca...
Prashantkumar R
 
VOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITS
VOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITSVOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITS
VOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITS
VLSICS Design
 
VOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITS
VOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITSVOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITS
VOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITS
VLSICS Design
 
VOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITS
VOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITSVOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITS
VOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITS
VLSICS Design
 
G352734
G352734G352734
G352734
IJERA Editor
 
G352734
G352734G352734
G352734
IJERA Editor
 
Vlsi physical design (Back End Process)
Vlsi physical design (Back End Process)Vlsi physical design (Back End Process)
Vlsi physical design (Back End Process)
CHENCHU CHANDU PRASANTH NADELLA
 
Low Power Clock Distribution Schemes in VLSI Design
Low Power Clock Distribution Schemes in VLSI DesignLow Power Clock Distribution Schemes in VLSI Design
Low Power Clock Distribution Schemes in VLSI Design
IJERA Editor
 
Energy efficient protocol with static clustering (eepsc) comparing with low e...
Energy efficient protocol with static clustering (eepsc) comparing with low e...Energy efficient protocol with static clustering (eepsc) comparing with low e...
Energy efficient protocol with static clustering (eepsc) comparing with low e...
Alexander Decker
 
Range unlimited delay interleaving and –recycling clock skew compensation and...
Range unlimited delay interleaving and –recycling clock skew compensation and...Range unlimited delay interleaving and –recycling clock skew compensation and...
Range unlimited delay interleaving and –recycling clock skew compensation and...
jpstudcorner
 
Principles of Power Systems V.K Mehta Complete Book - Chapter 7
Principles of Power Systems V.K Mehta Complete Book - Chapter 7Principles of Power Systems V.K Mehta Complete Book - Chapter 7
Principles of Power Systems V.K Mehta Complete Book - Chapter 7
Power System Operation
 
Lecture24 clockpower routing
Lecture24 clockpower routingLecture24 clockpower routing
Lecture24 clockpower routing
freeloadtailieu
 
Ijecet 06 09_003
Ijecet 06 09_003Ijecet 06 09_003
Ijecet 06 09_003
IAEME Publication
 
wireless power transfer
wireless power transferwireless power transfer
wireless power transfer
Mimar Sinan Saraç
 
Ijciet 10 02_069
Ijciet 10 02_069Ijciet 10 02_069
Ijciet 10 02_069
IAEME Publication
 
Systematic Design of Hybrid Cascaded Multilevel Inverters with Simplified DC...
Systematic Design of Hybrid Cascaded Multilevel Inverters with  Simplified DC...Systematic Design of Hybrid Cascaded Multilevel Inverters with  Simplified DC...
Systematic Design of Hybrid Cascaded Multilevel Inverters with Simplified DC...
International Journal of Engineering Inventions www.ijeijournal.com
 
A Single-Phase Clock Multiband Low-Power Flexible Divider
A Single-Phase Clock Multiband Low-Power Flexible DividerA Single-Phase Clock Multiband Low-Power Flexible Divider
A Single-Phase Clock Multiband Low-Power Flexible Divider
ijsrd.com
 
Implementation Of A High-Efficiency, High-Lifetime, And Low-Cost Converter Us...
Implementation Of A High-Efficiency, High-Lifetime, And Low-Cost Converter Us...Implementation Of A High-Efficiency, High-Lifetime, And Low-Cost Converter Us...
Implementation Of A High-Efficiency, High-Lifetime, And Low-Cost Converter Us...
irjes
 
Eeuc111
Eeuc111Eeuc111

Similar to Clock distribution (20)

TIMING ISSUES IN DIGITAL CIRCUITS: SYNCHRONOUS DESIGN
TIMING ISSUES IN DIGITAL CIRCUITS: SYNCHRONOUS DESIGNTIMING ISSUES IN DIGITAL CIRCUITS: SYNCHRONOUS DESIGN
TIMING ISSUES IN DIGITAL CIRCUITS: SYNCHRONOUS DESIGN
 
Design of -- Two phase non overlapping low frequency clock generator using Ca...
Design of -- Two phase non overlapping low frequency clock generator using Ca...Design of -- Two phase non overlapping low frequency clock generator using Ca...
Design of -- Two phase non overlapping low frequency clock generator using Ca...
 
VOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITS
VOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITSVOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITS
VOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITS
 
VOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITS
VOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITSVOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITS
VOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITS
 
VOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITS
VOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITSVOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITS
VOLTAGE STACKING FOR SIMPLIFYING POWER MANAGEMENT IN ASYNCHRONOUS CIRCUITS
 
G352734
G352734G352734
G352734
 
G352734
G352734G352734
G352734
 
Vlsi physical design (Back End Process)
Vlsi physical design (Back End Process)Vlsi physical design (Back End Process)
Vlsi physical design (Back End Process)
 
Low Power Clock Distribution Schemes in VLSI Design
Low Power Clock Distribution Schemes in VLSI DesignLow Power Clock Distribution Schemes in VLSI Design
Low Power Clock Distribution Schemes in VLSI Design
 
Energy efficient protocol with static clustering (eepsc) comparing with low e...
Energy efficient protocol with static clustering (eepsc) comparing with low e...Energy efficient protocol with static clustering (eepsc) comparing with low e...
Energy efficient protocol with static clustering (eepsc) comparing with low e...
 
Range unlimited delay interleaving and –recycling clock skew compensation and...
Range unlimited delay interleaving and –recycling clock skew compensation and...Range unlimited delay interleaving and –recycling clock skew compensation and...
Range unlimited delay interleaving and –recycling clock skew compensation and...
 
Principles of Power Systems V.K Mehta Complete Book - Chapter 7
Principles of Power Systems V.K Mehta Complete Book - Chapter 7Principles of Power Systems V.K Mehta Complete Book - Chapter 7
Principles of Power Systems V.K Mehta Complete Book - Chapter 7
 
Lecture24 clockpower routing
Lecture24 clockpower routingLecture24 clockpower routing
Lecture24 clockpower routing
 
Ijecet 06 09_003
Ijecet 06 09_003Ijecet 06 09_003
Ijecet 06 09_003
 
wireless power transfer
wireless power transferwireless power transfer
wireless power transfer
 
Ijciet 10 02_069
Ijciet 10 02_069Ijciet 10 02_069
Ijciet 10 02_069
 
Systematic Design of Hybrid Cascaded Multilevel Inverters with Simplified DC...
Systematic Design of Hybrid Cascaded Multilevel Inverters with  Simplified DC...Systematic Design of Hybrid Cascaded Multilevel Inverters with  Simplified DC...
Systematic Design of Hybrid Cascaded Multilevel Inverters with Simplified DC...
 
A Single-Phase Clock Multiband Low-Power Flexible Divider
A Single-Phase Clock Multiband Low-Power Flexible DividerA Single-Phase Clock Multiband Low-Power Flexible Divider
A Single-Phase Clock Multiband Low-Power Flexible Divider
 
Implementation Of A High-Efficiency, High-Lifetime, And Low-Cost Converter Us...
Implementation Of A High-Efficiency, High-Lifetime, And Low-Cost Converter Us...Implementation Of A High-Efficiency, High-Lifetime, And Low-Cost Converter Us...
Implementation Of A High-Efficiency, High-Lifetime, And Low-Cost Converter Us...
 
Eeuc111
Eeuc111Eeuc111
Eeuc111
 

Recently uploaded

International Conference on NLP, Artificial Intelligence, Machine Learning an...
International Conference on NLP, Artificial Intelligence, Machine Learning an...International Conference on NLP, Artificial Intelligence, Machine Learning an...
International Conference on NLP, Artificial Intelligence, Machine Learning an...
gerogepatton
 
132/33KV substation case study Presentation
132/33KV substation case study Presentation132/33KV substation case study Presentation
132/33KV substation case study Presentation
kandramariana6
 
ACEP Magazine edition 4th launched on 05.06.2024
ACEP Magazine edition 4th launched on 05.06.2024ACEP Magazine edition 4th launched on 05.06.2024
ACEP Magazine edition 4th launched on 05.06.2024
Rahul
 
Presentation of IEEE Slovenia CIS (Computational Intelligence Society) Chapte...
Presentation of IEEE Slovenia CIS (Computational Intelligence Society) Chapte...Presentation of IEEE Slovenia CIS (Computational Intelligence Society) Chapte...
Presentation of IEEE Slovenia CIS (Computational Intelligence Society) Chapte...
University of Maribor
 
Advanced control scheme of doubly fed induction generator for wind turbine us...
Advanced control scheme of doubly fed induction generator for wind turbine us...Advanced control scheme of doubly fed induction generator for wind turbine us...
Advanced control scheme of doubly fed induction generator for wind turbine us...
IJECEIAES
 
Optimizing Gradle Builds - Gradle DPE Tour Berlin 2024
Optimizing Gradle Builds - Gradle DPE Tour Berlin 2024Optimizing Gradle Builds - Gradle DPE Tour Berlin 2024
Optimizing Gradle Builds - Gradle DPE Tour Berlin 2024
Sinan KOZAK
 
Casting-Defect-inSlab continuous casting.pdf
Casting-Defect-inSlab continuous casting.pdfCasting-Defect-inSlab continuous casting.pdf
Casting-Defect-inSlab continuous casting.pdf
zubairahmad848137
 
KuberTENes Birthday Bash Guadalajara - K8sGPT first impressions
KuberTENes Birthday Bash Guadalajara - K8sGPT first impressionsKuberTENes Birthday Bash Guadalajara - K8sGPT first impressions
KuberTENes Birthday Bash Guadalajara - K8sGPT first impressions
Victor Morales
 
Heat Resistant Concrete Presentation ppt
Heat Resistant Concrete Presentation pptHeat Resistant Concrete Presentation ppt
Heat Resistant Concrete Presentation ppt
mamunhossenbd75
 
Iron and Steel Technology Roadmap - Towards more sustainable steelmaking.pdf
Iron and Steel Technology Roadmap - Towards more sustainable steelmaking.pdfIron and Steel Technology Roadmap - Towards more sustainable steelmaking.pdf
Iron and Steel Technology Roadmap - Towards more sustainable steelmaking.pdf
RadiNasr
 
5214-1693458878915-Unit 6 2023 to 2024 academic year assignment (AutoRecovere...
5214-1693458878915-Unit 6 2023 to 2024 academic year assignment (AutoRecovere...5214-1693458878915-Unit 6 2023 to 2024 academic year assignment (AutoRecovere...
5214-1693458878915-Unit 6 2023 to 2024 academic year assignment (AutoRecovere...
ihlasbinance2003
 
Modelagem de um CSTR com reação endotermica.pdf
Modelagem de um CSTR com reação endotermica.pdfModelagem de um CSTR com reação endotermica.pdf
Modelagem de um CSTR com reação endotermica.pdf
camseq
 
哪里办理(csu毕业证书)查尔斯特大学毕业证硕士学历原版一模一样
哪里办理(csu毕业证书)查尔斯特大学毕业证硕士学历原版一模一样哪里办理(csu毕业证书)查尔斯特大学毕业证硕士学历原版一模一样
哪里办理(csu毕业证书)查尔斯特大学毕业证硕士学历原版一模一样
insn4465
 
basic-wireline-operations-course-mahmoud-f-radwan.pdf
basic-wireline-operations-course-mahmoud-f-radwan.pdfbasic-wireline-operations-course-mahmoud-f-radwan.pdf
basic-wireline-operations-course-mahmoud-f-radwan.pdf
NidhalKahouli2
 
Comparative analysis between traditional aquaponics and reconstructed aquapon...
Comparative analysis between traditional aquaponics and reconstructed aquapon...Comparative analysis between traditional aquaponics and reconstructed aquapon...
Comparative analysis between traditional aquaponics and reconstructed aquapon...
bijceesjournal
 
CSM Cloud Service Management Presentarion
CSM Cloud Service Management PresentarionCSM Cloud Service Management Presentarion
CSM Cloud Service Management Presentarion
rpskprasana
 
22CYT12-Unit-V-E Waste and its Management.ppt
22CYT12-Unit-V-E Waste and its Management.ppt22CYT12-Unit-V-E Waste and its Management.ppt
22CYT12-Unit-V-E Waste and its Management.ppt
KrishnaveniKrishnara1
 
A SYSTEMATIC RISK ASSESSMENT APPROACH FOR SECURING THE SMART IRRIGATION SYSTEMS
A SYSTEMATIC RISK ASSESSMENT APPROACH FOR SECURING THE SMART IRRIGATION SYSTEMSA SYSTEMATIC RISK ASSESSMENT APPROACH FOR SECURING THE SMART IRRIGATION SYSTEMS
A SYSTEMATIC RISK ASSESSMENT APPROACH FOR SECURING THE SMART IRRIGATION SYSTEMS
IJNSA Journal
 
2008 BUILDING CONSTRUCTION Illustrated - Ching Chapter 02 The Building.pdf
2008 BUILDING CONSTRUCTION Illustrated - Ching Chapter 02 The Building.pdf2008 BUILDING CONSTRUCTION Illustrated - Ching Chapter 02 The Building.pdf
2008 BUILDING CONSTRUCTION Illustrated - Ching Chapter 02 The Building.pdf
Yasser Mahgoub
 
Generative AI leverages algorithms to create various forms of content
Generative AI leverages algorithms to create various forms of contentGenerative AI leverages algorithms to create various forms of content
Generative AI leverages algorithms to create various forms of content
Hitesh Mohapatra
 

Recently uploaded (20)

International Conference on NLP, Artificial Intelligence, Machine Learning an...
International Conference on NLP, Artificial Intelligence, Machine Learning an...International Conference on NLP, Artificial Intelligence, Machine Learning an...
International Conference on NLP, Artificial Intelligence, Machine Learning an...
 
132/33KV substation case study Presentation
132/33KV substation case study Presentation132/33KV substation case study Presentation
132/33KV substation case study Presentation
 
ACEP Magazine edition 4th launched on 05.06.2024
ACEP Magazine edition 4th launched on 05.06.2024ACEP Magazine edition 4th launched on 05.06.2024
ACEP Magazine edition 4th launched on 05.06.2024
 
Presentation of IEEE Slovenia CIS (Computational Intelligence Society) Chapte...
Presentation of IEEE Slovenia CIS (Computational Intelligence Society) Chapte...Presentation of IEEE Slovenia CIS (Computational Intelligence Society) Chapte...
Presentation of IEEE Slovenia CIS (Computational Intelligence Society) Chapte...
 
Advanced control scheme of doubly fed induction generator for wind turbine us...
Advanced control scheme of doubly fed induction generator for wind turbine us...Advanced control scheme of doubly fed induction generator for wind turbine us...
Advanced control scheme of doubly fed induction generator for wind turbine us...
 
Optimizing Gradle Builds - Gradle DPE Tour Berlin 2024
Optimizing Gradle Builds - Gradle DPE Tour Berlin 2024Optimizing Gradle Builds - Gradle DPE Tour Berlin 2024
Optimizing Gradle Builds - Gradle DPE Tour Berlin 2024
 
Casting-Defect-inSlab continuous casting.pdf
Casting-Defect-inSlab continuous casting.pdfCasting-Defect-inSlab continuous casting.pdf
Casting-Defect-inSlab continuous casting.pdf
 
KuberTENes Birthday Bash Guadalajara - K8sGPT first impressions
KuberTENes Birthday Bash Guadalajara - K8sGPT first impressionsKuberTENes Birthday Bash Guadalajara - K8sGPT first impressions
KuberTENes Birthday Bash Guadalajara - K8sGPT first impressions
 
Heat Resistant Concrete Presentation ppt
Heat Resistant Concrete Presentation pptHeat Resistant Concrete Presentation ppt
Heat Resistant Concrete Presentation ppt
 
Iron and Steel Technology Roadmap - Towards more sustainable steelmaking.pdf
Iron and Steel Technology Roadmap - Towards more sustainable steelmaking.pdfIron and Steel Technology Roadmap - Towards more sustainable steelmaking.pdf
Iron and Steel Technology Roadmap - Towards more sustainable steelmaking.pdf
 
5214-1693458878915-Unit 6 2023 to 2024 academic year assignment (AutoRecovere...
5214-1693458878915-Unit 6 2023 to 2024 academic year assignment (AutoRecovere...5214-1693458878915-Unit 6 2023 to 2024 academic year assignment (AutoRecovere...
5214-1693458878915-Unit 6 2023 to 2024 academic year assignment (AutoRecovere...
 
Modelagem de um CSTR com reação endotermica.pdf
Modelagem de um CSTR com reação endotermica.pdfModelagem de um CSTR com reação endotermica.pdf
Modelagem de um CSTR com reação endotermica.pdf
 
哪里办理(csu毕业证书)查尔斯特大学毕业证硕士学历原版一模一样
哪里办理(csu毕业证书)查尔斯特大学毕业证硕士学历原版一模一样哪里办理(csu毕业证书)查尔斯特大学毕业证硕士学历原版一模一样
哪里办理(csu毕业证书)查尔斯特大学毕业证硕士学历原版一模一样
 
basic-wireline-operations-course-mahmoud-f-radwan.pdf
basic-wireline-operations-course-mahmoud-f-radwan.pdfbasic-wireline-operations-course-mahmoud-f-radwan.pdf
basic-wireline-operations-course-mahmoud-f-radwan.pdf
 
Comparative analysis between traditional aquaponics and reconstructed aquapon...
Comparative analysis between traditional aquaponics and reconstructed aquapon...Comparative analysis between traditional aquaponics and reconstructed aquapon...
Comparative analysis between traditional aquaponics and reconstructed aquapon...
 
CSM Cloud Service Management Presentarion
CSM Cloud Service Management PresentarionCSM Cloud Service Management Presentarion
CSM Cloud Service Management Presentarion
 
22CYT12-Unit-V-E Waste and its Management.ppt
22CYT12-Unit-V-E Waste and its Management.ppt22CYT12-Unit-V-E Waste and its Management.ppt
22CYT12-Unit-V-E Waste and its Management.ppt
 
A SYSTEMATIC RISK ASSESSMENT APPROACH FOR SECURING THE SMART IRRIGATION SYSTEMS
A SYSTEMATIC RISK ASSESSMENT APPROACH FOR SECURING THE SMART IRRIGATION SYSTEMSA SYSTEMATIC RISK ASSESSMENT APPROACH FOR SECURING THE SMART IRRIGATION SYSTEMS
A SYSTEMATIC RISK ASSESSMENT APPROACH FOR SECURING THE SMART IRRIGATION SYSTEMS
 
2008 BUILDING CONSTRUCTION Illustrated - Ching Chapter 02 The Building.pdf
2008 BUILDING CONSTRUCTION Illustrated - Ching Chapter 02 The Building.pdf2008 BUILDING CONSTRUCTION Illustrated - Ching Chapter 02 The Building.pdf
2008 BUILDING CONSTRUCTION Illustrated - Ching Chapter 02 The Building.pdf
 
Generative AI leverages algorithms to create various forms of content
Generative AI leverages algorithms to create various forms of contentGenerative AI leverages algorithms to create various forms of content
Generative AI leverages algorithms to create various forms of content
 

Clock distribution

  • 1. Presented by: KAUSHAL KUMAR(10/IEC/023 MOHIT KARDAM(10/IEC/029)
  • 2.  The clock distribution network is the metal and buffer network that distribute clock to all clocked element.  A clock generator is a circuit that produces a timing signal for use in synchronizing a system’s operation.  At its most basic level, a clock generator consists of a resonant circuit and an amplifier.  The resonant circuit is usually a quartz piezo-electric oscillator or MEMS resonator, although simpler tank circuits and even RC circuits may be used in some cases.
  • 3.  Need to support higher clock frequency based on the strong correlation between frequency and chip performance.  Process technology scaling allows higher level of integration and larger die size leading to higher clock loading and larger distances the clock network need to traverse.
  • 4.  Skew :It is a phenomenon in synchronous circuits in which the clock signal arrives at different components at different times. FIG : Clock Skew
  • 5.  Clock skew is due to the unbalanced of the data.  Strategies to remove skew  Locate all clock inputs close together; but it is difficult to implement in a large circuit.  Drive them from the same source & balance the delays
  • 6.  Jitter:It is the cycle time variation of consecutive clock periods.  Power Dissipation: FIG : Jitter in clock - clock node consumes more power than any other nodes on the chip. - on a μp, clock tree dissipate 40% of total power.
  • 7.  Unconstrained Tree:  No constraints imposed on buffers and wires.  Used mostly by automatic tools in automatic synthesis flows.  Can be used for small blocks within large design. clk1 clk2 clk3 clkn FIG : Unconstrained tree clock network
  • 8.  Balanced tree:  The length of interconnects is identical from the source node n + 1 to the two destination nodes n.  The primary delay difference among the clock signal paths is due to the variations of process parameters affecting  Interconnect impedance  Characteristics of buffer  This structure is difficult to implement in practice due to routing constraints and different fan-out requirements.
  • 9. FIG: Balanced H-tree clock network
  • 10.  Central spine :  It is a specific implementation of a binary tree.  The clock can be transported in a balanced fashion across one dimension of the die with low structural skew. FIG : Central clock spine distribution
  • 11.  Spines with Matched Branches:  An extension of the central spine structure can be realize by replacing the unconstrained end-of-distribution branches with delay matched routes.  The longest branch determines the delay from the output of the central spine to the end loads. FIG : Multiple clock spines with matched branches
  • 12.  Grid :  This clock grid resembles a mesh with fully connected clock tracks in both dimensions and grid drivers located on all four sides.  Usually a custom implementation, simple to build.  Insensitive to load changes.  Dissipate more power.
  • 13. FIG : Clock grid with 2-dimensional clock drivers
  • 14.  Hybrid Distribution:  It is the combination of all the topologies.  Common configurations are spines-grid distribution or tree-grid distribution.  It employs a multilevel H-tree driving a common grid. FIG : Clock grid with 1-dimensional drivers