SlideShare a Scribd company logo
1 of 30
Download to read offline
Intel® Atom™ Processor Pre-silicon Verification Experience


                 Shahram Salamian


              Ultra Mobility Group
                 Intel Corporation
                                                             1
Agenda
• Atom Introduction
• Verification challenges
• High level verification methodology
• Verification development process and indicators
• Results & key learning's
• Future challenges




                                                    2
Micro-architecting for Low Power

• Started with single-issue, in order                         1% perf for 3%
                                                              or more power




                                          Application Power
  pipeline
                                                                               1% perf for
• Kept adding new features                                                     2% power

• Iterated until higher performance and                             Baseline
                                                                                             1% perf for 1%
                                                                      core                   or less power
                                                                          Performance
  performance/watt efficiency goals

  were met




                ONLY THE MOST POWER EFFICIENT FEATURES
              WERE ADDED TO FURTHER IMPROVE PERFORMANCE



                                                                                                        3
Key Architecture Level Features
• ISA compatible with Intel® Core™ 2
      including Intel® SSE3, SSSE3 and Intel®64

• Dual issue pipeline with dual-thread support

• Full width SIMD integer and SP FP add support

• Aggressive TDP/average/idle power management
      Support for Enhanced Intel SpeedStep® Technology and ACPI
       states including Intel® Deep Power down Technology (C6)

• Intel® Virtualization technology support

• Burst mode capability to enable higher frequency operation
 on thermally limited designs

• 800 MHZ – 1.8 GHz, .65W – 2.4W TDP                               4
Micro-architecture Features
  Fetch       32KB Instruction cache with pre-decode extension
   And        Branch Trace Buffer, Gshare predictor
 Decode       Return stack buffers (@ fetch, @ decode)
              Per-thread Instruction Scheduling Queues
Scheduling
              Scheduler that can pick 2 ops from either thread per clock
FP/SIMD       128b SIMD Integer datapath (2 SIMD alus, 1 shuffle unit)
execution  64b FP, SIMD integer multipliers
              FP adder with 128b support for SP adds (64b for others)
              SIR (Safe Instruction Recognition) support to allow out-of-order commits
              24KB Writeback Data cache
 Memory       Two-level Data TLB hierarchy with large and small page structures
execution  Hardware page walker (for instruction and data TLB misses)
              Integer store-> load forwarding support
              512KB way Level2 Cache (256b per access) with inline ECC protection
              L2 cache, Data Cache hardware prefetchers


                                                                                          5
Power C-States

               C0 HFM   C0 LFM   C1/C2         C4          C6


Core voltage


  Core clock                      OFF          OFF         OFF

        PLL                                    OFF         OFF


  L1 caches
                                 flushed     flushed       of
                                                            f
   L2 cache
                                           Partial flush   of
                                                            f
Wakeup time    active   active


      Power




                                                                 6
Global Power Management
• Global clock gating (C states)
• Dynamic frequency and voltage scaling with Enhanced Intel
  SpeedStep® Technology (enhanced C states)
• PLL and Front Side Bus IOs shutdown and core voltage
  reduction (deep C states)
• Sleep transistors allow dynamic power down of L2 cache
  ways (Ultra-drowsy mode in deep C states)
• Sleep transistors in L2 cache allow voltage to be reduced to
  inactive logic (Drowsy mode)
• CMOS mode on Front Side Bus




                                                           7
Agenda
• Atom Introduction
• Verification challenges
• High level verification methodology
• Verification development process and indicators
• Results & key learning's
• Future challenges




                                                    8
Verification Challenges
 New ground up uArchitecture
   • Large scale development on validation collaterals
 Aggressive development schedule to first TO
 Constrained verification resource environment
   • More acute for the first half of the project where new
     development was taking place
 Introduction of significant power mgmt features
 Minimize post-si validation qualification cycle, at least from
  function/logic perspective




                                                                   9
Agenda
• Atom introduction
• Verification challenges
• High level verification methodology
• Verification development process and indicators
• Results & key learning's
• Future challenges




                                                    10
High level verification approaches
 Cluster level validation & functional coverage
 New x86 test case generator for Architecture validation
 New power mgmt validation collaterals development
 Formal property & model checking verification
 X86 legacy database reuse for verifying against Architecture
 Focus on debug, testability & survivability features from the
  start
 Complementary full chip test content from post-si domain
 Emulation




                                                                  11
Cluster level validation

 Developed new test benches for all clusters (5 HW clusters) in Specman
  “e”

 Test bench architecture enabled building “super clusters” as appropriate
   • Bus + Memory, Front end + scheduler
   • Allowed exercising complicated inter-cluster protocol more effectively

 Scoreboard + checkers reused at full chip environment

 Detailed scoreboard + checker reviews with Architecture + design teams

 Random templates + Specman constraints generated tests

 New Arch simulator facilitated standalone Ucode validation environment




                                                                              12
Functional Coverage

 Significant emphasis on use of functional coverage in all
  clusters & full chip
 Propriety coverage language specification/collection/analysis
  flow
 Coverage space specification was result of collaboration with
  architecture, design teams
 Use coverage to identify test generation & content gap at
  cluster and FC environments
 Set goals for cluster and full chip functional coverage goals
 Could not attain high tape out coverage goals in some areas
 - Detailed coverage analysis reviews with all stakeholders
 - Post-si validation focused on low coverage areas

                                                                  13
Architectural Validation
 Goal is to verify implementation adheres to all aspects of X86
  architecture (i.e Intel blue books)
 Leveraged large legacy test base suite
 Developed new X86 instruction set random test generator

 Leveraged X86 Architectural simulator as reference model to
  check Architectural correctness
  • Complemented by key cluster uArch checkers
 Developed special libraries to exercise power mgmt features




                                                              14
It is all about Power stupid……
 Imperative to get the power saving features right at A0
  •   Early post-si power characterization was key requirement
  •   Enablement of power management SW development and debug as
      soon as possible
 Developed validation collaterals to inject random power events
  & dynamic checkers for correct behavior
  • Power mgmt is collaboration of Ucode, HW & chipset
  • High level of random variables to create scenarios
  • Detailed checker to verify state & other power related features
 State corruption capability as power domain turned off
  • Power specification approach was in its infancy
  • Developed tools to identify sequential elements & corrupt state as
    needed
 Clock gating logic traversal capability and coverage
  specification

                                                                         15
Formal Verification
 Applied model checking
  • Selective areas on floating point algorithms with data path
    content
  • Instruction length decode data path
 Extensive use of assertions
  • Assumption checking
  • Protocols
  • Multi-cycle paths
  • ~50% of non-complex assertions proven formally




                                                              16
Si Debug & Survivability
 Debug
  • Numerous debug features were incorporated in the design
  • Treated debug features as important as functional
  • Involved post-si validation to define usage model, test content
    development, execution on pre-si
 Survivability – Making progress in the presence of bugs
  • Complex algorithms divided into smaller sub-algorithms, selectable with
    ON/OFF flop
  • Behavior on OFF reverts to simpler or slower performance or lower
    power behavior
  • Helps with bug isolation
  • Randomized all ON/OFF flops in cluster & full chip random templates,
    with checkers and coverage measurement enabled




                                                                          17
Post-Si content & Emulation
 Test content diversification
  • Actively sought post-si test content
    – Allowed for post-si content development to start & mature early
    – Identified areas of improvement for the newly deployed ISA
      generator
    – Additional, complementary source for coverage
 Focused on full chip emulation
  • Post-si team used emulation to develop & debug test
    content
  • Accelerate replay of failure traces
  • Successful boot of Unix-like kernel before TO



                                                                   18
Agenda
• Atom introduction
• Verification challenges
• High level verification methodology
• Verification development process and indicators
• Results & key learning's
• Future challenges




                                                    19
Verification Development Process
 Light verification
  • Split RTL & test bench development into distinct phases & have enough
    features to allow full chip execution
  • At end of each phase, set of clear acceptance criteria had to be met to
    call phase done
  • Increasingly stringent quality control to check RTL & test bench code in
    data base with each phase

 Heavy verification
  •   Test planning, coverage specification phase, initial exercise
  •   Template writing, directed test writing, start coverage roll up
  •   Coverage analysis with feedback to test generation & environment
  •   More randomness, more injectors, more stress scenarios as new bug
      arrival rate showed downward trend




                                                                           20
Verification Metrics
 Light Validation
   •   Stage plan based
   •   Verification collateral development was tightly coupled with RTL features
   •   Tight control on phase acceptance criteria content

 Heavy verification phase indicators
   •   % Coverage specification complete
   •   % Functional coverage
   •   % Tests written & passing rate for directed scenarios (DFT, DFV)
   •   % Legacy tests passing rate
   •   Bug indicators such as incoming rate, open, ready-for-closure, type, etc
   •   Last but certainly not least, health of model indicator
        –   Used by many previous generation CPU projects
        –   Intended to act as RTL functional health indicator to design, project mgmt, etc
        –   Incorporates impact of unresolved bugs on validation progress
        –   Incorporates subjective “progress” factor as voted by different areas of
            validation



                                                                                         21
Health of the model indicator
                    Atom Health of the Model

        100
         90
         80
         70
         60
Score




         50
         40
         30
         20
         10
          0




                                                                              0
                 Qtr 4        Qtr 3         Qtr 2         Qtr 1       Qtr 0
                                  Tim e to Tapeout


                                Black – Atom
                  Pink, Blue, Orange - Previous CPU projects
                           Green Line – Desired zone
                          Red Line    - Urgent action required zone
                                                                                  22
Agenda
• Atom introduction
• Verification challenges
• High level verification methodology
• Verification development process and indicators
• Results & key learning's
• Future challenges




                                                    23
Results

 10 Hr boot from part arrival to Windows & Linux boot
 Post-si activities were not blocked or curtailed as result of
  functional bugs
 Significant % of post-si found bugs were corner case, multi-
  dimensional, timing-dependent sequence scenarios
 A small number of “we should have hit this” type of escapes
 All debug/survivability features worked. Significantly helped
  with isolation & debug effort




                                                                  24
Results
SLT Total Bugs by Assigned Cluster
  Bugs s ubm itte d be tw e e n 2006_01 & 2006_52




                        BNL
                        2%
                                     BUS
    uCODE                            22%
     29%




                                           DFT
                                           5%


     M EC
      8%                              FEC
                                      16%
               IEC          FPC
               13%          5%




                                                    25
Results

                                      Pre-si bug escapes to post-si

                         8
                         7
% of total pre-silicon




                         6
                         5
          bugs




                         4
                         3
                         2
                         1
                         0
                              Intel     Intel     Intel Intel Core- Intel Core- Intel Atom
                             Pentium Pentium 4 Pentium 4 Duo         Duo - 45
                               Pro              - 65 nm                 nm
                                                Intel Architecture CPU


                                                                                             26
Results




          Ultimate indicator of successful result is
Intel® Atom™ powering many products in stores near you !

                                                           27
Key Learning's

 Build & keep full chip healthy as soon as possible
 Keep stringent quality control to check-in RTL and/or
  validation collaterals in the design database
 Putting same focus on DFT, DFV, etc as main stream
  functionality pays off in long term
 Dig behind the indicators, especially when they show rosy
  pictures
 Small, highly focused, motivated team can do wonderful
  things




                                                              28
Agenda
• Atom introduction
• Verification challenges
• High level verification methodology
• Verification development process and indicators
• Results & key learnings
• Future challenges




                                                    29
Future challenges
 Effective & systematic coverage specification and
  measurement for post-si and how to tie or
  leverage pre-si
 Ways to capture state & debug, especially as
  move to even more integrated multi-core, multi-IP
  world
 Analog/digital, circuit, process i.e non-logic issues
  are starting to dominate post-si qualification cycle




                                                          30

More Related Content

What's hot

SOC Peripheral Components & SOC Tools
SOC Peripheral Components & SOC ToolsSOC Peripheral Components & SOC Tools
SOC Peripheral Components & SOC ToolsA B Shinde
 
Summary Of Course Projects
Summary Of Course ProjectsSummary Of Course Projects
Summary Of Course Projectsawan2008
 
Topic2a ss pipelines
Topic2a ss pipelinesTopic2a ss pipelines
Topic2a ss pipelinesturki_09
 
XPDDS18: Xen Testing at Intel - Xudong Hao, Intel
XPDDS18: Xen Testing at Intel - Xudong Hao, IntelXPDDS18: Xen Testing at Intel - Xudong Hao, Intel
XPDDS18: Xen Testing at Intel - Xudong Hao, IntelThe Linux Foundation
 
BKK16-311 EAS Upstream Stategy
BKK16-311 EAS Upstream StategyBKK16-311 EAS Upstream Stategy
BKK16-311 EAS Upstream StategyLinaro
 
Power Optimization with Efficient Test Logic Partitioning for Full Chip Design
Power Optimization with Efficient Test Logic Partitioning for Full Chip DesignPower Optimization with Efficient Test Logic Partitioning for Full Chip Design
Power Optimization with Efficient Test Logic Partitioning for Full Chip DesignPankaj Singh
 
Lightweight DNN Processor Design (based on NVDLA)
Lightweight DNN Processor Design (based on NVDLA)Lightweight DNN Processor Design (based on NVDLA)
Lightweight DNN Processor Design (based on NVDLA)Shien-Chun Luo
 
Implementation of Soft-core processor on FPGA (Final Presentation)
Implementation of Soft-core processor on FPGA (Final Presentation)Implementation of Soft-core processor on FPGA (Final Presentation)
Implementation of Soft-core processor on FPGA (Final Presentation)Deepak Kumar
 
TRACK D: A breakthrough in logic design drastically improving performances fr...
TRACK D: A breakthrough in logic design drastically improving performances fr...TRACK D: A breakthrough in logic design drastically improving performances fr...
TRACK D: A breakthrough in logic design drastically improving performances fr...chiportal
 
Implementation of Soft-core Processor on FPGA
Implementation of Soft-core Processor on FPGAImplementation of Soft-core Processor on FPGA
Implementation of Soft-core Processor on FPGADeepak Kumar
 
WALT vs PELT : Redux - SFO17-307
WALT vs PELT : Redux  - SFO17-307WALT vs PELT : Redux  - SFO17-307
WALT vs PELT : Redux - SFO17-307Linaro
 
Low Power Design Verification of Complex Chips
Low Power Design Verification of Complex ChipsLow Power Design Verification of Complex Chips
Low Power Design Verification of Complex ChipsDVClub
 
SOC System Design Approach
SOC System Design ApproachSOC System Design Approach
SOC System Design ApproachA B Shinde
 
Reconfigurable Coprocessors Synthesis in the MPEG-RVC Domain
Reconfigurable Coprocessors Synthesis in the MPEG-RVC DomainReconfigurable Coprocessors Synthesis in the MPEG-RVC Domain
Reconfigurable Coprocessors Synthesis in the MPEG-RVC DomainMDC_UNICA
 
Coverage Solutions on Emulators
Coverage Solutions on EmulatorsCoverage Solutions on Emulators
Coverage Solutions on EmulatorsDVClub
 
Accelerate Big Data Processing with High-Performance Computing Technologies
Accelerate Big Data Processing with High-Performance Computing TechnologiesAccelerate Big Data Processing with High-Performance Computing Technologies
Accelerate Big Data Processing with High-Performance Computing TechnologiesIntel® Software
 
Runtime Reconfigurable Network-on-chips for FPGA-based Devices
Runtime Reconfigurable Network-on-chips for FPGA-based DevicesRuntime Reconfigurable Network-on-chips for FPGA-based Devices
Runtime Reconfigurable Network-on-chips for FPGA-based DevicesMugdha2289
 

What's hot (20)

SOC Peripheral Components & SOC Tools
SOC Peripheral Components & SOC ToolsSOC Peripheral Components & SOC Tools
SOC Peripheral Components & SOC Tools
 
Baseband processor final rev
Baseband processor final revBaseband processor final rev
Baseband processor final rev
 
Summary Of Course Projects
Summary Of Course ProjectsSummary Of Course Projects
Summary Of Course Projects
 
Topic2a ss pipelines
Topic2a ss pipelinesTopic2a ss pipelines
Topic2a ss pipelines
 
XPDDS18: Xen Testing at Intel - Xudong Hao, Intel
XPDDS18: Xen Testing at Intel - Xudong Hao, IntelXPDDS18: Xen Testing at Intel - Xudong Hao, Intel
XPDDS18: Xen Testing at Intel - Xudong Hao, Intel
 
BKK16-311 EAS Upstream Stategy
BKK16-311 EAS Upstream StategyBKK16-311 EAS Upstream Stategy
BKK16-311 EAS Upstream Stategy
 
Power Optimization with Efficient Test Logic Partitioning for Full Chip Design
Power Optimization with Efficient Test Logic Partitioning for Full Chip DesignPower Optimization with Efficient Test Logic Partitioning for Full Chip Design
Power Optimization with Efficient Test Logic Partitioning for Full Chip Design
 
Lightweight DNN Processor Design (based on NVDLA)
Lightweight DNN Processor Design (based on NVDLA)Lightweight DNN Processor Design (based on NVDLA)
Lightweight DNN Processor Design (based on NVDLA)
 
Implementation of Soft-core processor on FPGA (Final Presentation)
Implementation of Soft-core processor on FPGA (Final Presentation)Implementation of Soft-core processor on FPGA (Final Presentation)
Implementation of Soft-core processor on FPGA (Final Presentation)
 
TRACK D: A breakthrough in logic design drastically improving performances fr...
TRACK D: A breakthrough in logic design drastically improving performances fr...TRACK D: A breakthrough in logic design drastically improving performances fr...
TRACK D: A breakthrough in logic design drastically improving performances fr...
 
Intel python 2017
Intel python 2017Intel python 2017
Intel python 2017
 
Implementation of Soft-core Processor on FPGA
Implementation of Soft-core Processor on FPGAImplementation of Soft-core Processor on FPGA
Implementation of Soft-core Processor on FPGA
 
WALT vs PELT : Redux - SFO17-307
WALT vs PELT : Redux  - SFO17-307WALT vs PELT : Redux  - SFO17-307
WALT vs PELT : Redux - SFO17-307
 
Low Power Design Verification of Complex Chips
Low Power Design Verification of Complex ChipsLow Power Design Verification of Complex Chips
Low Power Design Verification of Complex Chips
 
SOC System Design Approach
SOC System Design ApproachSOC System Design Approach
SOC System Design Approach
 
Reconfigurable Coprocessors Synthesis in the MPEG-RVC Domain
Reconfigurable Coprocessors Synthesis in the MPEG-RVC DomainReconfigurable Coprocessors Synthesis in the MPEG-RVC Domain
Reconfigurable Coprocessors Synthesis in the MPEG-RVC Domain
 
Coverage Solutions on Emulators
Coverage Solutions on EmulatorsCoverage Solutions on Emulators
Coverage Solutions on Emulators
 
EMEA Airheads- AirGroup profiling changes across 8.1 & 8.2 – ArubaOS 8.x
EMEA Airheads- AirGroup profiling changes across 8.1 & 8.2 – ArubaOS 8.xEMEA Airheads- AirGroup profiling changes across 8.1 & 8.2 – ArubaOS 8.x
EMEA Airheads- AirGroup profiling changes across 8.1 & 8.2 – ArubaOS 8.x
 
Accelerate Big Data Processing with High-Performance Computing Technologies
Accelerate Big Data Processing with High-Performance Computing TechnologiesAccelerate Big Data Processing with High-Performance Computing Technologies
Accelerate Big Data Processing with High-Performance Computing Technologies
 
Runtime Reconfigurable Network-on-chips for FPGA-based Devices
Runtime Reconfigurable Network-on-chips for FPGA-based DevicesRuntime Reconfigurable Network-on-chips for FPGA-based Devices
Runtime Reconfigurable Network-on-chips for FPGA-based Devices
 

Viewers also liked

Stinson post si and verification
Stinson post si and verificationStinson post si and verification
Stinson post si and verificationObsidian Software
 
Validation and-design-in-a-small-team-environment
Validation and-design-in-a-small-team-environmentValidation and-design-in-a-small-team-environment
Validation and-design-in-a-small-team-environmentObsidian Software
 
2010 bristol q1_formal-property-checkers
2010 bristol q1_formal-property-checkers2010 bristol q1_formal-property-checkers
2010 bristol q1_formal-property-checkersObsidian Software
 
Session 9 advance_verification_features
Session 9 advance_verification_featuresSession 9 advance_verification_features
Session 9 advance_verification_featuresNirav Desai
 
Lear design con_east_2004_simplifing_mixed_signal_simulation
Lear design con_east_2004_simplifing_mixed_signal_simulationLear design con_east_2004_simplifing_mixed_signal_simulation
Lear design con_east_2004_simplifing_mixed_signal_simulationObsidian Software
 
The Verification Methodology Landscape
The Verification Methodology LandscapeThe Verification Methodology Landscape
The Verification Methodology LandscapeDVClub
 
Williamson arm validation metrics
Williamson arm validation metricsWilliamson arm validation metrics
Williamson arm validation metricsObsidian Software
 
Functional Coverage Development Tips - Mentor Graphics
Functional Coverage Development Tips - Mentor GraphicsFunctional Coverage Development Tips - Mentor Graphics
Functional Coverage Development Tips - Mentor GraphicseInfochips (An Arrow Company)
 

Viewers also liked (20)

Schulz sv q2_2009
Schulz sv q2_2009Schulz sv q2_2009
Schulz sv q2_2009
 
Mcdermot bio
Mcdermot bioMcdermot bio
Mcdermot bio
 
Stinson post si and verification
Stinson post si and verificationStinson post si and verification
Stinson post si and verification
 
Validation and-design-in-a-small-team-environment
Validation and-design-in-a-small-team-environmentValidation and-design-in-a-small-team-environment
Validation and-design-in-a-small-team-environment
 
2010 bristol q1_formal-property-checkers
2010 bristol q1_formal-property-checkers2010 bristol q1_formal-property-checkers
2010 bristol q1_formal-property-checkers
 
Tobin verification isglobal
Tobin verification isglobalTobin verification isglobal
Tobin verification isglobal
 
Thaker q3 2008
Thaker q3 2008Thaker q3 2008
Thaker q3 2008
 
Whipp q3 2008_sv
Whipp q3 2008_svWhipp q3 2008_sv
Whipp q3 2008_sv
 
09 10 parent sign up email
09 10 parent sign up email09 10 parent sign up email
09 10 parent sign up email
 
Robert page-abstract
Robert page-abstractRobert page-abstract
Robert page-abstract
 
Session 9 advance_verification_features
Session 9 advance_verification_featuresSession 9 advance_verification_features
Session 9 advance_verification_features
 
Lear design con_east_2004_simplifing_mixed_signal_simulation
Lear design con_east_2004_simplifing_mixed_signal_simulationLear design con_east_2004_simplifing_mixed_signal_simulation
Lear design con_east_2004_simplifing_mixed_signal_simulation
 
The Verification Methodology Landscape
The Verification Methodology LandscapeThe Verification Methodology Landscape
The Verification Methodology Landscape
 
Shreeve dv club_ams
Shreeve dv club_amsShreeve dv club_ams
Shreeve dv club_ams
 
Yang greenstein part_2
Yang greenstein part_2Yang greenstein part_2
Yang greenstein part_2
 
Lear unified env_paper-1
Lear unified env_paper-1Lear unified env_paper-1
Lear unified env_paper-1
 
Williamson arm validation metrics
Williamson arm validation metricsWilliamson arm validation metrics
Williamson arm validation metrics
 
Dv club09 async_sva
Dv club09 async_svaDv club09 async_sva
Dv club09 async_sva
 
Functional Coverage Development Tips - Mentor Graphics
Functional Coverage Development Tips - Mentor GraphicsFunctional Coverage Development Tips - Mentor Graphics
Functional Coverage Development Tips - Mentor Graphics
 
Shultz dallas q108
Shultz dallas q108Shultz dallas q108
Shultz dallas q108
 

Similar to Sharam salamian

Intel Atom Processor Pre-Silicon Verification Experience
Intel Atom Processor Pre-Silicon Verification ExperienceIntel Atom Processor Pre-Silicon Verification Experience
Intel Atom Processor Pre-Silicon Verification ExperienceDVClub
 
Project Slides for Website 2020-22.pptx
Project Slides for Website 2020-22.pptxProject Slides for Website 2020-22.pptx
Project Slides for Website 2020-22.pptxAkshitAgiwal1
 
The Cortex-A15 Verification Story
The Cortex-A15 Verification StoryThe Cortex-A15 Verification Story
The Cortex-A15 Verification StoryDVClub
 
참여기관_발표자료-국민대학교 201301 정기회의
참여기관_발표자료-국민대학교 201301 정기회의참여기관_발표자료-국민대학교 201301 정기회의
참여기관_발표자료-국민대학교 201301 정기회의DzH QWuynh
 
Mission critical computing by intel
Mission critical computing by intelMission critical computing by intel
Mission critical computing by intelHP ESSN Philippines
 
Ceph Community Talk on High-Performance Solid Sate Ceph
Ceph Community Talk on High-Performance Solid Sate Ceph Ceph Community Talk on High-Performance Solid Sate Ceph
Ceph Community Talk on High-Performance Solid Sate Ceph Ceph Community
 
Low-Power Design and Verification
Low-Power Design and VerificationLow-Power Design and Verification
Low-Power Design and VerificationDVClub
 
OpenPOWER Acceleration of HPCC Systems
OpenPOWER Acceleration of HPCC SystemsOpenPOWER Acceleration of HPCC Systems
OpenPOWER Acceleration of HPCC SystemsHPCC Systems
 
Next-Gen Decision Making in Under 2ms
Next-Gen Decision Making in Under 2msNext-Gen Decision Making in Under 2ms
Next-Gen Decision Making in Under 2msIlya Ganelin
 
ISCA2021 Tutorial-Methods for Characterization and Analysis of Voltage Margin...
ISCA2021 Tutorial-Methods for Characterization and Analysis of Voltage Margin...ISCA2021 Tutorial-Methods for Characterization and Analysis of Voltage Margin...
ISCA2021 Tutorial-Methods for Characterization and Analysis of Voltage Margin...Behzad Salami
 
Towards "write once - run whenever possible" with Safety Critical Java af Ben...
Towards "write once - run whenever possible" with Safety Critical Java af Ben...Towards "write once - run whenever possible" with Safety Critical Java af Ben...
Towards "write once - run whenever possible" with Safety Critical Java af Ben...InfinIT - Innovationsnetværket for it
 
Capital One's Next Generation Decision in less than 2 ms
Capital One's Next Generation Decision in less than 2 msCapital One's Next Generation Decision in less than 2 ms
Capital One's Next Generation Decision in less than 2 msApache Apex
 
Validation and Design in a Small Team Environment
Validation and Design in a Small Team EnvironmentValidation and Design in a Small Team Environment
Validation and Design in a Small Team EnvironmentDVClub
 
VMworld 2014: Extreme Performance Series
VMworld 2014: Extreme Performance Series VMworld 2014: Extreme Performance Series
VMworld 2014: Extreme Performance Series VMworld
 
How to achieve 95%+ Accurate power measurement during architecture exploration?
How to achieve 95%+ Accurate power measurement during architecture exploration? How to achieve 95%+ Accurate power measurement during architecture exploration?
How to achieve 95%+ Accurate power measurement during architecture exploration? Deepak Shankar
 
How to create innovative architecture using VisualSim?
How to create innovative architecture using VisualSim?How to create innovative architecture using VisualSim?
How to create innovative architecture using VisualSim?Deepak Shankar
 

Similar to Sharam salamian (20)

Intel Atom Processor Pre-Silicon Verification Experience
Intel Atom Processor Pre-Silicon Verification ExperienceIntel Atom Processor Pre-Silicon Verification Experience
Intel Atom Processor Pre-Silicon Verification Experience
 
Project Slides for Website 2020-22.pptx
Project Slides for Website 2020-22.pptxProject Slides for Website 2020-22.pptx
Project Slides for Website 2020-22.pptx
 
The Cortex-A15 Verification Story
The Cortex-A15 Verification StoryThe Cortex-A15 Verification Story
The Cortex-A15 Verification Story
 
참여기관_발표자료-국민대학교 201301 정기회의
참여기관_발표자료-국민대학교 201301 정기회의참여기관_발표자료-국민대학교 201301 정기회의
참여기관_발표자료-국민대학교 201301 정기회의
 
Mission critical computing by intel
Mission critical computing by intelMission critical computing by intel
Mission critical computing by intel
 
Ceph Community Talk on High-Performance Solid Sate Ceph
Ceph Community Talk on High-Performance Solid Sate Ceph Ceph Community Talk on High-Performance Solid Sate Ceph
Ceph Community Talk on High-Performance Solid Sate Ceph
 
Low-Power Design and Verification
Low-Power Design and VerificationLow-Power Design and Verification
Low-Power Design and Verification
 
OpenPOWER Acceleration of HPCC Systems
OpenPOWER Acceleration of HPCC SystemsOpenPOWER Acceleration of HPCC Systems
OpenPOWER Acceleration of HPCC Systems
 
Cloud Networking Trends
Cloud Networking TrendsCloud Networking Trends
Cloud Networking Trends
 
ASIC design verification
ASIC design verificationASIC design verification
ASIC design verification
 
Next-Gen Decision Making in Under 2ms
Next-Gen Decision Making in Under 2msNext-Gen Decision Making in Under 2ms
Next-Gen Decision Making in Under 2ms
 
ISCA2021 Tutorial-Methods for Characterization and Analysis of Voltage Margin...
ISCA2021 Tutorial-Methods for Characterization and Analysis of Voltage Margin...ISCA2021 Tutorial-Methods for Characterization and Analysis of Voltage Margin...
ISCA2021 Tutorial-Methods for Characterization and Analysis of Voltage Margin...
 
Play With Streams
Play With StreamsPlay With Streams
Play With Streams
 
Towards "write once - run whenever possible" with Safety Critical Java af Ben...
Towards "write once - run whenever possible" with Safety Critical Java af Ben...Towards "write once - run whenever possible" with Safety Critical Java af Ben...
Towards "write once - run whenever possible" with Safety Critical Java af Ben...
 
Capital One's Next Generation Decision in less than 2 ms
Capital One's Next Generation Decision in less than 2 msCapital One's Next Generation Decision in less than 2 ms
Capital One's Next Generation Decision in less than 2 ms
 
Validation and Design in a Small Team Environment
Validation and Design in a Small Team EnvironmentValidation and Design in a Small Team Environment
Validation and Design in a Small Team Environment
 
VMworld 2014: Extreme Performance Series
VMworld 2014: Extreme Performance Series VMworld 2014: Extreme Performance Series
VMworld 2014: Extreme Performance Series
 
How to achieve 95%+ Accurate power measurement during architecture exploration?
How to achieve 95%+ Accurate power measurement during architecture exploration? How to achieve 95%+ Accurate power measurement during architecture exploration?
How to achieve 95%+ Accurate power measurement during architecture exploration?
 
OPAL-RT Seminar on HYPERSIM
OPAL-RT Seminar on HYPERSIMOPAL-RT Seminar on HYPERSIM
OPAL-RT Seminar on HYPERSIM
 
How to create innovative architecture using VisualSim?
How to create innovative architecture using VisualSim?How to create innovative architecture using VisualSim?
How to create innovative architecture using VisualSim?
 

More from Obsidian Software (19)

Zhang rtp q307
Zhang rtp q307Zhang rtp q307
Zhang rtp q307
 
Zehr dv club_12052006
Zehr dv club_12052006Zehr dv club_12052006
Zehr dv club_12052006
 
Yang greenstein part_1
Yang greenstein part_1Yang greenstein part_1
Yang greenstein part_1
 
Vishakantaiah validating
Vishakantaiah validatingVishakantaiah validating
Vishakantaiah validating
 
Tierney bq207
Tierney bq207Tierney bq207
Tierney bq207
 
The validation attitude
The validation attitudeThe validation attitude
The validation attitude
 
Thaker q3 2008
Thaker q3 2008Thaker q3 2008
Thaker q3 2008
 
Strickland dvclub
Strickland dvclubStrickland dvclub
Strickland dvclub
 
Schulz dallas q1_2008
Schulz dallas q1_2008Schulz dallas q1_2008
Schulz dallas q1_2008
 
Salamian dv club_foils_intel_austin
Salamian dv club_foils_intel_austinSalamian dv club_foils_intel_austin
Salamian dv club_foils_intel_austin
 
Sakar jain
Sakar jainSakar jain
Sakar jain
 
Runner sv q307
Runner sv q307Runner sv q307
Runner sv q307
 
Roy omap validation_dvc_lub_092106
Roy omap validation_dvc_lub_092106Roy omap validation_dvc_lub_092106
Roy omap validation_dvc_lub_092106
 
Roy aerofone power_verif
Roy aerofone power_verifRoy aerofone power_verif
Roy aerofone power_verif
 
Rash
RashRash
Rash
 
Pedneau dv employment_081705
Pedneau dv employment_081705Pedneau dv employment_081705
Pedneau dv employment_081705
 
Oop dv club_rev2b-4
Oop dv club_rev2b-4Oop dv club_rev2b-4
Oop dv club_rev2b-4
 
Oop dv club_rev2b-3
Oop dv club_rev2b-3Oop dv club_rev2b-3
Oop dv club_rev2b-3
 
Nilesh ranpura systemmodelling
Nilesh ranpura systemmodellingNilesh ranpura systemmodelling
Nilesh ranpura systemmodelling
 

Sharam salamian

  • 1. Intel® Atom™ Processor Pre-silicon Verification Experience Shahram Salamian Ultra Mobility Group Intel Corporation 1
  • 2. Agenda • Atom Introduction • Verification challenges • High level verification methodology • Verification development process and indicators • Results & key learning's • Future challenges 2
  • 3. Micro-architecting for Low Power • Started with single-issue, in order 1% perf for 3% or more power Application Power pipeline 1% perf for • Kept adding new features 2% power • Iterated until higher performance and Baseline 1% perf for 1% core or less power Performance performance/watt efficiency goals were met ONLY THE MOST POWER EFFICIENT FEATURES WERE ADDED TO FURTHER IMPROVE PERFORMANCE 3
  • 4. Key Architecture Level Features • ISA compatible with Intel® Core™ 2  including Intel® SSE3, SSSE3 and Intel®64 • Dual issue pipeline with dual-thread support • Full width SIMD integer and SP FP add support • Aggressive TDP/average/idle power management  Support for Enhanced Intel SpeedStep® Technology and ACPI states including Intel® Deep Power down Technology (C6) • Intel® Virtualization technology support • Burst mode capability to enable higher frequency operation on thermally limited designs • 800 MHZ – 1.8 GHz, .65W – 2.4W TDP 4
  • 5. Micro-architecture Features Fetch  32KB Instruction cache with pre-decode extension And  Branch Trace Buffer, Gshare predictor Decode  Return stack buffers (@ fetch, @ decode)  Per-thread Instruction Scheduling Queues Scheduling  Scheduler that can pick 2 ops from either thread per clock FP/SIMD  128b SIMD Integer datapath (2 SIMD alus, 1 shuffle unit) execution  64b FP, SIMD integer multipliers  FP adder with 128b support for SP adds (64b for others)  SIR (Safe Instruction Recognition) support to allow out-of-order commits  24KB Writeback Data cache Memory  Two-level Data TLB hierarchy with large and small page structures execution  Hardware page walker (for instruction and data TLB misses)  Integer store-> load forwarding support  512KB way Level2 Cache (256b per access) with inline ECC protection  L2 cache, Data Cache hardware prefetchers 5
  • 6. Power C-States C0 HFM C0 LFM C1/C2 C4 C6 Core voltage Core clock OFF OFF OFF PLL OFF OFF L1 caches flushed flushed of f L2 cache Partial flush of f Wakeup time active active Power 6
  • 7. Global Power Management • Global clock gating (C states) • Dynamic frequency and voltage scaling with Enhanced Intel SpeedStep® Technology (enhanced C states) • PLL and Front Side Bus IOs shutdown and core voltage reduction (deep C states) • Sleep transistors allow dynamic power down of L2 cache ways (Ultra-drowsy mode in deep C states) • Sleep transistors in L2 cache allow voltage to be reduced to inactive logic (Drowsy mode) • CMOS mode on Front Side Bus 7
  • 8. Agenda • Atom Introduction • Verification challenges • High level verification methodology • Verification development process and indicators • Results & key learning's • Future challenges 8
  • 9. Verification Challenges  New ground up uArchitecture • Large scale development on validation collaterals  Aggressive development schedule to first TO  Constrained verification resource environment • More acute for the first half of the project where new development was taking place  Introduction of significant power mgmt features  Minimize post-si validation qualification cycle, at least from function/logic perspective 9
  • 10. Agenda • Atom introduction • Verification challenges • High level verification methodology • Verification development process and indicators • Results & key learning's • Future challenges 10
  • 11. High level verification approaches  Cluster level validation & functional coverage  New x86 test case generator for Architecture validation  New power mgmt validation collaterals development  Formal property & model checking verification  X86 legacy database reuse for verifying against Architecture  Focus on debug, testability & survivability features from the start  Complementary full chip test content from post-si domain  Emulation 11
  • 12. Cluster level validation  Developed new test benches for all clusters (5 HW clusters) in Specman “e”  Test bench architecture enabled building “super clusters” as appropriate • Bus + Memory, Front end + scheduler • Allowed exercising complicated inter-cluster protocol more effectively  Scoreboard + checkers reused at full chip environment  Detailed scoreboard + checker reviews with Architecture + design teams  Random templates + Specman constraints generated tests  New Arch simulator facilitated standalone Ucode validation environment 12
  • 13. Functional Coverage  Significant emphasis on use of functional coverage in all clusters & full chip  Propriety coverage language specification/collection/analysis flow  Coverage space specification was result of collaboration with architecture, design teams  Use coverage to identify test generation & content gap at cluster and FC environments  Set goals for cluster and full chip functional coverage goals  Could not attain high tape out coverage goals in some areas - Detailed coverage analysis reviews with all stakeholders - Post-si validation focused on low coverage areas 13
  • 14. Architectural Validation  Goal is to verify implementation adheres to all aspects of X86 architecture (i.e Intel blue books)  Leveraged large legacy test base suite  Developed new X86 instruction set random test generator  Leveraged X86 Architectural simulator as reference model to check Architectural correctness • Complemented by key cluster uArch checkers  Developed special libraries to exercise power mgmt features 14
  • 15. It is all about Power stupid……  Imperative to get the power saving features right at A0 • Early post-si power characterization was key requirement • Enablement of power management SW development and debug as soon as possible  Developed validation collaterals to inject random power events & dynamic checkers for correct behavior • Power mgmt is collaboration of Ucode, HW & chipset • High level of random variables to create scenarios • Detailed checker to verify state & other power related features  State corruption capability as power domain turned off • Power specification approach was in its infancy • Developed tools to identify sequential elements & corrupt state as needed  Clock gating logic traversal capability and coverage specification 15
  • 16. Formal Verification  Applied model checking • Selective areas on floating point algorithms with data path content • Instruction length decode data path  Extensive use of assertions • Assumption checking • Protocols • Multi-cycle paths • ~50% of non-complex assertions proven formally 16
  • 17. Si Debug & Survivability  Debug • Numerous debug features were incorporated in the design • Treated debug features as important as functional • Involved post-si validation to define usage model, test content development, execution on pre-si  Survivability – Making progress in the presence of bugs • Complex algorithms divided into smaller sub-algorithms, selectable with ON/OFF flop • Behavior on OFF reverts to simpler or slower performance or lower power behavior • Helps with bug isolation • Randomized all ON/OFF flops in cluster & full chip random templates, with checkers and coverage measurement enabled 17
  • 18. Post-Si content & Emulation  Test content diversification • Actively sought post-si test content – Allowed for post-si content development to start & mature early – Identified areas of improvement for the newly deployed ISA generator – Additional, complementary source for coverage  Focused on full chip emulation • Post-si team used emulation to develop & debug test content • Accelerate replay of failure traces • Successful boot of Unix-like kernel before TO 18
  • 19. Agenda • Atom introduction • Verification challenges • High level verification methodology • Verification development process and indicators • Results & key learning's • Future challenges 19
  • 20. Verification Development Process  Light verification • Split RTL & test bench development into distinct phases & have enough features to allow full chip execution • At end of each phase, set of clear acceptance criteria had to be met to call phase done • Increasingly stringent quality control to check RTL & test bench code in data base with each phase  Heavy verification • Test planning, coverage specification phase, initial exercise • Template writing, directed test writing, start coverage roll up • Coverage analysis with feedback to test generation & environment • More randomness, more injectors, more stress scenarios as new bug arrival rate showed downward trend 20
  • 21. Verification Metrics  Light Validation • Stage plan based • Verification collateral development was tightly coupled with RTL features • Tight control on phase acceptance criteria content  Heavy verification phase indicators • % Coverage specification complete • % Functional coverage • % Tests written & passing rate for directed scenarios (DFT, DFV) • % Legacy tests passing rate • Bug indicators such as incoming rate, open, ready-for-closure, type, etc • Last but certainly not least, health of model indicator – Used by many previous generation CPU projects – Intended to act as RTL functional health indicator to design, project mgmt, etc – Incorporates impact of unresolved bugs on validation progress – Incorporates subjective “progress” factor as voted by different areas of validation 21
  • 22. Health of the model indicator Atom Health of the Model 100 90 80 70 60 Score 50 40 30 20 10 0 0 Qtr 4 Qtr 3 Qtr 2 Qtr 1 Qtr 0 Tim e to Tapeout Black – Atom Pink, Blue, Orange - Previous CPU projects Green Line – Desired zone Red Line - Urgent action required zone 22
  • 23. Agenda • Atom introduction • Verification challenges • High level verification methodology • Verification development process and indicators • Results & key learning's • Future challenges 23
  • 24. Results  10 Hr boot from part arrival to Windows & Linux boot  Post-si activities were not blocked or curtailed as result of functional bugs  Significant % of post-si found bugs were corner case, multi- dimensional, timing-dependent sequence scenarios  A small number of “we should have hit this” type of escapes  All debug/survivability features worked. Significantly helped with isolation & debug effort 24
  • 25. Results SLT Total Bugs by Assigned Cluster Bugs s ubm itte d be tw e e n 2006_01 & 2006_52 BNL 2% BUS uCODE 22% 29% DFT 5% M EC 8% FEC 16% IEC FPC 13% 5% 25
  • 26. Results Pre-si bug escapes to post-si 8 7 % of total pre-silicon 6 5 bugs 4 3 2 1 0 Intel Intel Intel Intel Core- Intel Core- Intel Atom Pentium Pentium 4 Pentium 4 Duo Duo - 45 Pro - 65 nm nm Intel Architecture CPU 26
  • 27. Results Ultimate indicator of successful result is Intel® Atom™ powering many products in stores near you ! 27
  • 28. Key Learning's  Build & keep full chip healthy as soon as possible  Keep stringent quality control to check-in RTL and/or validation collaterals in the design database  Putting same focus on DFT, DFV, etc as main stream functionality pays off in long term  Dig behind the indicators, especially when they show rosy pictures  Small, highly focused, motivated team can do wonderful things 28
  • 29. Agenda • Atom introduction • Verification challenges • High level verification methodology • Verification development process and indicators • Results & key learnings • Future challenges 29
  • 30. Future challenges  Effective & systematic coverage specification and measurement for post-si and how to tie or leverage pre-si  Ways to capture state & debug, especially as move to even more integrated multi-core, multi-IP world  Analog/digital, circuit, process i.e non-logic issues are starting to dominate post-si qualification cycle 30