SlideShare a Scribd company logo
APB Protocol v 1.0
● Introduction
● Transfers
● Operating States
● Signal Descriptions
Conventions
This section describes the conventions that this
specification uses:
•Typographical
●
Timing diagrams
•Signals
Typographical
● This specification uses the following typographical conventions:
● Italic - Highlights important notes, introduces special
terminology,denotes internal cross-references, and citations.
● Bold - Highlights interface elements, such as menu names. Denotes
ARM processor signal names. Also used for terms in descriptive lists,
where appropriate.
● Monospace - Denotes text that you can enter at the keyboard, such as
commands, file and program names, and source code.
● Monospace - Denotes a permitted abbreviation for a command or
option. You can enter the underlined text instead of the full command
or option name.
●monospace italic - Denotes arguments to monospace text where the
argument is to be replaced by a specific value.
●monospace bold - denotes language keywords when used outside
example code.
●<and> - Angle brackets enclose replaceable terms for assembler syntax
where they appear in code or code fragments. They appear in normal font
in running text. For example:
● MRC p15, 0 <Rd>, <CRn>, <CRm>, <Opcode_2>
● The Opcode_2 value selects which register is accessed.
Timing diagrams
● The figure named Key to timing diagram conventions 
explains the components used in timing diagrams. 
Variations, when they occur, have clear labels. You 
must not assume any timing information that is not 
explicit in the diagrams. Shaded bus and signal areas 
are undefined, so the bus or signal can assume any 
value within the shaded area at that time. The actual 
level is unimportant and does not affect normal 
operation.
Timing diagrams
Signals
● The signal conventions are:
● Signal level - The level of an asserted signal depends on
whether the signal is active-HIGH or active-LOW. Asserted
means HIGH for active-HIGH signals and LOW for active-
LOW signals.
● Prefix P - Denotes AMBA 3 APB signals.
● Suffix n - Denotes AXI, AHB, and AMBA 3 APB reset
signals.
About the AMBA 3 APB
● The APB is part of the AMBA 3 protocol family. It provides a low
cost interface that is optimized for minimal power consumption and
reduced interface complexity.
● The APB interfaces to any peripherals that are low-bandwidth and do
not require the high performance of a pipelined bus interface. The
APB has unpipelined protocol.
● All signal transitions are only related to the rising edge of the clock to
enable the integration of APB peripherals easily into any design flow.
Every transfer takes at least two cycles.
● The APB can interface with the AMBA Advanced High-performance
Bus Lite (AHB-Lite) and AMBA Advanced Extensible Interface
(AXI). You can use it to provide access to the programmable control
registers of peripheral devices.
Changes for AMBA 3 APB Protocol
Specification v1.0
● This version includes:
● A ready signal, PREADY, to extend an APB
transfer.
● An error signal, PSLVERR, to indicate the failure of
a transfer.
Transfers
● Write transfers
● Two types of write transfer are described in this
section:
● With no wait states
● With wait states.
With no wait states
The write transfer starts with the address, write data, write signal
and select signal all changing after the rising edge of the clock.
The first clock cycle of the transfer is called the Setup phase. After
the following clock edge the enable signal is asserted, PENABLE,
and this indicates that the Access phase is taking place. The
address, data and control signals all remain valid throughout the
Access phase. The transfer completes at the end of this cycle.
The enable signal, PENABLE, is deasserted at the end of the
transfer. The select signal, PSELx, also goes LOW unless the
transfer is to be followed immediately by another
transfer to the same peripheral.
With wait states
● Figure shows how the PREADY signal from the slave can
extend the transfer. During an Access phase, when PENABLE is
HIGH, the transfer can be extended by driving PREADY LOW.
The following signals remain unchanged for the additional
cycles:
● address, PADDR
● write signal, PWRITE
● select signal, PSEL
● enable signal, PENABLE
● write data, PWDATA.
Write transfer with wait states
● PREADY can take any value when PENABLE is LOW.
This ensures that peripherals that have a fixed two cycle
access can tie PREADY HIGH.
● NOTE - It is recommended that the address and write
signals are not changed immediately after a transfer but
remain stable until another access occurs. This reduces
power consumption.
Read transfers
● Two types of read transfer are described in this
section:
● With no wait states
● With wait states.
With no wait states
Figure shows a read transfer. The timing of the address, write, select,
and enable signals are as described in Write transfers on page 2-2. The
slave must provide the data before the end of the read transfer
With wait states
● Figure shows how the PREADY signal can extend the transfer. The
transfer is extended if PREADY is driven LOW during an Access
phase. The protocol ensures that the following remain unchanged for
the additional cycles:
● address, PADDR
● write signal, PWRITE
● select signal, PSEL
● enable signal, PENABLE.
● Figure shows that two cycles are added using the PREADY signal.
However, you can add any number of additional cycles, from zero
upwards.
Error response
● You can use PSLVERR to indicate an error condition on an APB
transfer. Error conditions can occur on both read and write
transactions.
● PSLVERR is only considered valid during the last cycle of an
APB transfer, when PSEL, PENABLE, and PREADY are all
HIGH
● It is recommended, but not mandatory, that you drive PSLVERR
LOW when it is not being sampled. That is, when any of PSEL,
PENABLE, or PREADY are LOW.
Error response contd..
● Transactions that receive an error, might or might not have changed
the state of the peripheral. This is peripheral-specific and either is
acceptable. When a write transaction receives an error this does not
mean that the register within the peripheral has not been updated.
Read transactions that receive an error can return invalid data. There
is no requirement for the peripheral to drive the data bus to all 0s for a
read error.
● APB peripherals are not required to support the PSLVERR pin. This
is true for both existing and new APB peripheral designs. Where a
peripheral does not include this pin then the appropriate input to the
APB bridge is tied LOW.
Write transfer
● Figure shows an example of a failing write transfer that
completes with an error.
Read transfer
● A read transfer can also complete with an error response, indicating
that there is no valid read data available. Figure 2-6 on page 2-7
shows a read transfer completing with an error response.
Mapping of PSLVERR
● When bridging:
● From AXI to APB An APB error is mapped back to
RRESP/BRESP = SLVERR. This is achieved by mapping
PSLVERR to the AXI signals RRESP[1] for reads and
BRESP[1] for writes.
● From AHB to APB PSLVERR is mapped back to HRESP =
ERROR for both reads and writes. This is ac
Operating States
Figure shows the operational activity of the APB.
The state machine operates through the following
states:
● IDLE - This is the default state of the APB.
● SETUP - When a transfer is required the bus moves
into the SETUP state, where the appropriate select
signal, PSELx, is asserted. The bus only remains in
the SETUP state for one clock cycle and always
moves to the ACCESS state on the next rising edge
of the clock.
Contd....
● ACCESS - The enable signal, PENABLE, is asserted in the
ACCESS state. The address, write, select, and write data
signals must remain stable during the transition from the
SETUP to ACCESS state. Exit from the ACCESS state is
controlled by the PREADY signal from the slave:
1 - If PREADY is held LOW by the slave then the peripheral bus remains in
the ACCESS state.
2 - If PREADY is driven HIGH by the slave then the ACCESS state is exited
and the bus returns to the IDLE state if no more transfers are required.
Alternatively, the bus moves directly to the SETUP state if another transfer
follows.
Signal Descriptions
AMBA 3 APB signals
APB protocol v1.0

More Related Content

What's hot

Amba presentation2
Amba presentation2Amba presentation2
Amba presentation2
Rashi Aggarwal
 
AXI Protocol.pptx
AXI Protocol.pptxAXI Protocol.pptx
AXI Protocol.pptx
Yazan Yousef
 
AMBA AHB 5
AMBA AHB 5AMBA AHB 5
AMBA AHB 5
SUNODH GARLAPATI
 
AMBA Ahb 2.0
AMBA Ahb 2.0AMBA Ahb 2.0
AMBA Ahb 2.0
Akhil Srivastava
 
AHB To APB BRIDGE.pptx
AHB To APB BRIDGE.pptxAHB To APB BRIDGE.pptx
AHB To APB BRIDGE.pptx
GuckChick
 
dual-port RAM (DPRAM)
dual-port RAM (DPRAM)dual-port RAM (DPRAM)
dual-port RAM (DPRAM)
SACHINKUMAR1890
 
Axi
AxiAxi
System verilog assertions
System verilog assertionsSystem verilog assertions
System verilog assertions
HARINATH REDDY
 
SOC Verification using SystemVerilog
SOC Verification using SystemVerilog SOC Verification using SystemVerilog
SOC Verification using SystemVerilog
Ramdas Mozhikunnath
 
Axi protocol
Axi protocolAxi protocol
Axi protocol
Azad Mishra
 
System verilog coverage
System verilog coverageSystem verilog coverage
System verilog coverage
Pushpa Yakkala
 
UVM Driver sequencer handshaking
UVM Driver sequencer handshakingUVM Driver sequencer handshaking
UVM Driver sequencer handshaking
HARINATH REDDY
 
Serial Peripheral Interface(SPI)
Serial Peripheral Interface(SPI)Serial Peripheral Interface(SPI)
Serial Peripheral Interface(SPI)
Dhaval Kaneria
 
I2C BUS PROTOCOL
I2C BUS PROTOCOLI2C BUS PROTOCOL
I2C BUS PROTOCOL
Kashyap Mandaliya
 
System verilog important
System verilog importantSystem verilog important
System verilog important
elumalai7
 
SPI introduction(Serial Peripheral Interface)
SPI introduction(Serial Peripheral Interface)SPI introduction(Serial Peripheral Interface)
SPI introduction(Serial Peripheral Interface)
SUNODH GARLAPATI
 

What's hot (20)

Amba presentation2
Amba presentation2Amba presentation2
Amba presentation2
 
AXI Protocol.pptx
AXI Protocol.pptxAXI Protocol.pptx
AXI Protocol.pptx
 
AMBA AHB 5
AMBA AHB 5AMBA AHB 5
AMBA AHB 5
 
AMBA Ahb 2.0
AMBA Ahb 2.0AMBA Ahb 2.0
AMBA Ahb 2.0
 
AHB To APB BRIDGE.pptx
AHB To APB BRIDGE.pptxAHB To APB BRIDGE.pptx
AHB To APB BRIDGE.pptx
 
Axi
AxiAxi
Axi
 
dual-port RAM (DPRAM)
dual-port RAM (DPRAM)dual-port RAM (DPRAM)
dual-port RAM (DPRAM)
 
Axi
AxiAxi
Axi
 
System verilog assertions
System verilog assertionsSystem verilog assertions
System verilog assertions
 
SOC Verification using SystemVerilog
SOC Verification using SystemVerilog SOC Verification using SystemVerilog
SOC Verification using SystemVerilog
 
Axi protocol
Axi protocolAxi protocol
Axi protocol
 
System verilog coverage
System verilog coverageSystem verilog coverage
System verilog coverage
 
AMBA 2.0 PPT
AMBA 2.0 PPTAMBA 2.0 PPT
AMBA 2.0 PPT
 
UVM Driver sequencer handshaking
UVM Driver sequencer handshakingUVM Driver sequencer handshaking
UVM Driver sequencer handshaking
 
Serial Peripheral Interface(SPI)
Serial Peripheral Interface(SPI)Serial Peripheral Interface(SPI)
Serial Peripheral Interface(SPI)
 
AMBA_APB_pst
AMBA_APB_pstAMBA_APB_pst
AMBA_APB_pst
 
I2C BUS PROTOCOL
I2C BUS PROTOCOLI2C BUS PROTOCOL
I2C BUS PROTOCOL
 
System verilog important
System verilog importantSystem verilog important
System verilog important
 
Amba bus
Amba busAmba bus
Amba bus
 
SPI introduction(Serial Peripheral Interface)
SPI introduction(Serial Peripheral Interface)SPI introduction(Serial Peripheral Interface)
SPI introduction(Serial Peripheral Interface)
 

Viewers also liked

Design and Implementation of an Advanced DMA Controller on AMBA-Based SoC
Design and Implementation of an Advanced DMA Controller on AMBA-Based SoCDesign and Implementation of an Advanced DMA Controller on AMBA-Based SoC
Design and Implementation of an Advanced DMA Controller on AMBA-Based SoC
Rabindranath Tagore University, Bhopal
 
AMBA3.0 james_20110801
AMBA3.0 james_20110801AMBA3.0 james_20110801
AMBA3.0 james_20110801
James Chang
 
I2 c protocol
I2 c protocolI2 c protocol
I2 c protocol
Azad Mishra
 
SystemVerilog Assertions verification with SVAUnit - DVCon US 2016 Tutorial
SystemVerilog Assertions verification with SVAUnit - DVCon US 2016 TutorialSystemVerilog Assertions verification with SVAUnit - DVCon US 2016 Tutorial
SystemVerilog Assertions verification with SVAUnit - DVCon US 2016 Tutorial
Amiq Consulting
 
Presentation apb 2015 perdir
Presentation apb 2015   perdirPresentation apb 2015   perdir
RESUME_VISHNU_PRIYA
RESUME_VISHNU_PRIYARESUME_VISHNU_PRIYA
RESUME_VISHNU_PRIYAVishnu Priya
 
memcached Binary Protocol in a Nutshell
memcached Binary Protocol in a Nutshellmemcached Binary Protocol in a Nutshell
memcached Binary Protocol in a Nutshell
Toru Maesaka
 
Delays in verilog
Delays in verilogDelays in verilog
Delays in verilog
JITU MISTRY
 
Design and Implementation of AMBA ASB APB Bridge
Design and Implementation of AMBA ASB APB BridgeDesign and Implementation of AMBA ASB APB Bridge
Design and Implementation of AMBA ASB APB Bridge
Manu BN
 
Mac protocols of adhoc network
Mac protocols of adhoc networkMac protocols of adhoc network
Mac protocols of adhoc network
shashi712
 
Track 3 session 4 - st dev con 2016 - sensortile
Track 3   session 4 - st dev con 2016 - sensortileTrack 3   session 4 - st dev con 2016 - sensortile
Track 3 session 4 - st dev con 2016 - sensortile
ST_World
 
Track 1 session 4 - st dev con 2016 - mems piezo actuators
Track 1   session 4 - st dev con 2016 - mems piezo actuatorsTrack 1   session 4 - st dev con 2016 - mems piezo actuators
Track 1 session 4 - st dev con 2016 - mems piezo actuators
ST_World
 
UVM TUTORIAL;
UVM TUTORIAL;UVM TUTORIAL;
UVM TUTORIAL;
Azad Mishra
 
Top 10 protocol interview questions with answers
Top 10 protocol interview questions with answersTop 10 protocol interview questions with answers
Top 10 protocol interview questions with answers
gracemartinez012
 
Handy Culture Deck v1.0
Handy Culture Deck v1.0Handy Culture Deck v1.0
Handy Culture Deck v1.0
Handy
 

Viewers also liked (18)

Design and Implementation of an Advanced DMA Controller on AMBA-Based SoC
Design and Implementation of an Advanced DMA Controller on AMBA-Based SoCDesign and Implementation of an Advanced DMA Controller on AMBA-Based SoC
Design and Implementation of an Advanced DMA Controller on AMBA-Based SoC
 
AMBA3.0 james_20110801
AMBA3.0 james_20110801AMBA3.0 james_20110801
AMBA3.0 james_20110801
 
I2 c protocol
I2 c protocolI2 c protocol
I2 c protocol
 
SystemVerilog Assertions verification with SVAUnit - DVCon US 2016 Tutorial
SystemVerilog Assertions verification with SVAUnit - DVCon US 2016 TutorialSystemVerilog Assertions verification with SVAUnit - DVCon US 2016 Tutorial
SystemVerilog Assertions verification with SVAUnit - DVCon US 2016 Tutorial
 
AXI Introduction
AXI IntroductionAXI Introduction
AXI Introduction
 
Presentation apb 2015 perdir
Presentation apb 2015   perdirPresentation apb 2015   perdir
Presentation apb 2015 perdir
 
RESUME_VISHNU_PRIYA
RESUME_VISHNU_PRIYARESUME_VISHNU_PRIYA
RESUME_VISHNU_PRIYA
 
memcached Binary Protocol in a Nutshell
memcached Binary Protocol in a Nutshellmemcached Binary Protocol in a Nutshell
memcached Binary Protocol in a Nutshell
 
Delays in verilog
Delays in verilogDelays in verilog
Delays in verilog
 
Design and Implementation of AMBA ASB APB Bridge
Design and Implementation of AMBA ASB APB BridgeDesign and Implementation of AMBA ASB APB Bridge
Design and Implementation of AMBA ASB APB Bridge
 
system verilog
system verilogsystem verilog
system verilog
 
Mac protocols of adhoc network
Mac protocols of adhoc networkMac protocols of adhoc network
Mac protocols of adhoc network
 
Mac protocols
Mac protocolsMac protocols
Mac protocols
 
Track 3 session 4 - st dev con 2016 - sensortile
Track 3   session 4 - st dev con 2016 - sensortileTrack 3   session 4 - st dev con 2016 - sensortile
Track 3 session 4 - st dev con 2016 - sensortile
 
Track 1 session 4 - st dev con 2016 - mems piezo actuators
Track 1   session 4 - st dev con 2016 - mems piezo actuatorsTrack 1   session 4 - st dev con 2016 - mems piezo actuators
Track 1 session 4 - st dev con 2016 - mems piezo actuators
 
UVM TUTORIAL;
UVM TUTORIAL;UVM TUTORIAL;
UVM TUTORIAL;
 
Top 10 protocol interview questions with answers
Top 10 protocol interview questions with answersTop 10 protocol interview questions with answers
Top 10 protocol interview questions with answers
 
Handy Culture Deck v1.0
Handy Culture Deck v1.0Handy Culture Deck v1.0
Handy Culture Deck v1.0
 

Similar to APB protocol v1.0

APB2SPI.pptx
APB2SPI.pptxAPB2SPI.pptx
APB2SPI.pptx
SandeepkumarRangala
 
AMBA 2.0
AMBA 2.0AMBA 2.0
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGEVLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
VLSICS Design
 
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGEVLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
VLSICS Design
 
VLSI DESIGN OF AMBA BASED AHB2APBBRIDGE
VLSI DESIGN OF AMBA BASED AHB2APBBRIDGEVLSI DESIGN OF AMBA BASED AHB2APBBRIDGE
VLSI DESIGN OF AMBA BASED AHB2APBBRIDGE
VLSICS Design
 
IRJET- Design and Verification of APB Protocol by using System Verilog and Un...
IRJET- Design and Verification of APB Protocol by using System Verilog and Un...IRJET- Design and Verification of APB Protocol by using System Verilog and Un...
IRJET- Design and Verification of APB Protocol by using System Verilog and Un...
IRJET Journal
 
8051d
8051d8051d
ahb to convert apb bridge presentation ppt
ahb to convert  apb bridge presentation pptahb to convert  apb bridge presentation ppt
ahb to convert apb bridge presentation ppt
SandeepGowda53
 
mod 3-1.pptx
mod 3-1.pptxmod 3-1.pptx
mod 3-1.pptx
lekha349785
 
Universal synchronous asynchronous receiver transmitter(usart) and AtoD Coverter
Universal synchronous asynchronous receiver transmitter(usart) and AtoD CoverterUniversal synchronous asynchronous receiver transmitter(usart) and AtoD Coverter
Universal synchronous asynchronous receiver transmitter(usart) and AtoD Coverter
Tejas Shetye
 
Interfacing technique with 8085- ADC[0808]
Interfacing technique with 8085- ADC[0808]Interfacing technique with 8085- ADC[0808]
Interfacing technique with 8085- ADC[0808]
Guhan k
 
Fpga implemented ahb protocol
Fpga implemented ahb protocolFpga implemented ahb protocol
Fpga implemented ahb protocoliaemedu
 
Interfacing rs232
Interfacing rs232Interfacing rs232
Interfacing rs232PRADEEP
 
8086 microprocessor assembler directives.ppt
8086   microprocessor assembler directives.ppt8086   microprocessor assembler directives.ppt
8086 microprocessor assembler directives.ppt
NaveenKumar5162
 
REGISTER TRANSFER AND MICRO OPERATIONS
REGISTER TRANSFER AND MICRO OPERATIONSREGISTER TRANSFER AND MICRO OPERATIONS
REGISTER TRANSFER AND MICRO OPERATIONS
Anonymous Red
 
Design and Implementation of SOC Bus Based on AMBA 4.0
Design and Implementation of SOC Bus Based on AMBA 4.0Design and Implementation of SOC Bus Based on AMBA 4.0
Design and Implementation of SOC Bus Based on AMBA 4.0
ijsrd.com
 
IS.pptx
IS.pptxIS.pptx

Similar to APB protocol v1.0 (20)

APB2SPI.pptx
APB2SPI.pptxAPB2SPI.pptx
APB2SPI.pptx
 
AMBA 2.0
AMBA 2.0AMBA 2.0
AMBA 2.0
 
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGEVLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
 
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGEVLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
 
VLSI DESIGN OF AMBA BASED AHB2APBBRIDGE
VLSI DESIGN OF AMBA BASED AHB2APBBRIDGEVLSI DESIGN OF AMBA BASED AHB2APBBRIDGE
VLSI DESIGN OF AMBA BASED AHB2APBBRIDGE
 
IRJET- Design and Verification of APB Protocol by using System Verilog and Un...
IRJET- Design and Verification of APB Protocol by using System Verilog and Un...IRJET- Design and Verification of APB Protocol by using System Verilog and Un...
IRJET- Design and Verification of APB Protocol by using System Verilog and Un...
 
8051d
8051d8051d
8051d
 
ahb to convert apb bridge presentation ppt
ahb to convert  apb bridge presentation pptahb to convert  apb bridge presentation ppt
ahb to convert apb bridge presentation ppt
 
mod 3-1.pptx
mod 3-1.pptxmod 3-1.pptx
mod 3-1.pptx
 
Universal synchronous asynchronous receiver transmitter(usart) and AtoD Coverter
Universal synchronous asynchronous receiver transmitter(usart) and AtoD CoverterUniversal synchronous asynchronous receiver transmitter(usart) and AtoD Coverter
Universal synchronous asynchronous receiver transmitter(usart) and AtoD Coverter
 
final
finalfinal
final
 
40120130406005
4012013040600540120130406005
40120130406005
 
Interfacing technique with 8085- ADC[0808]
Interfacing technique with 8085- ADC[0808]Interfacing technique with 8085- ADC[0808]
Interfacing technique with 8085- ADC[0808]
 
Fpga implemented ahb protocol
Fpga implemented ahb protocolFpga implemented ahb protocol
Fpga implemented ahb protocol
 
First seminar
First seminarFirst seminar
First seminar
 
Interfacing rs232
Interfacing rs232Interfacing rs232
Interfacing rs232
 
8086 microprocessor assembler directives.ppt
8086   microprocessor assembler directives.ppt8086   microprocessor assembler directives.ppt
8086 microprocessor assembler directives.ppt
 
REGISTER TRANSFER AND MICRO OPERATIONS
REGISTER TRANSFER AND MICRO OPERATIONSREGISTER TRANSFER AND MICRO OPERATIONS
REGISTER TRANSFER AND MICRO OPERATIONS
 
Design and Implementation of SOC Bus Based on AMBA 4.0
Design and Implementation of SOC Bus Based on AMBA 4.0Design and Implementation of SOC Bus Based on AMBA 4.0
Design and Implementation of SOC Bus Based on AMBA 4.0
 
IS.pptx
IS.pptxIS.pptx
IS.pptx
 

Recently uploaded

一比一原版(Columbia毕业证)哥伦比亚大学毕业证如何办理
一比一原版(Columbia毕业证)哥伦比亚大学毕业证如何办理一比一原版(Columbia毕业证)哥伦比亚大学毕业证如何办理
一比一原版(Columbia毕业证)哥伦比亚大学毕业证如何办理
asuzyq
 
一比一原版(Bristol毕业证书)布里斯托大学毕业证成绩单如何办理
一比一原版(Bristol毕业证书)布里斯托大学毕业证成绩单如何办理一比一原版(Bristol毕业证书)布里斯托大学毕业证成绩单如何办理
一比一原版(Bristol毕业证书)布里斯托大学毕业证成绩单如何办理
smpc3nvg
 
Book Formatting: Quality Control Checks for Designers
Book Formatting: Quality Control Checks for DesignersBook Formatting: Quality Control Checks for Designers
Book Formatting: Quality Control Checks for Designers
Confidence Ago
 
Can AI do good? at 'offtheCanvas' India HCI prelude
Can AI do good? at 'offtheCanvas' India HCI preludeCan AI do good? at 'offtheCanvas' India HCI prelude
Can AI do good? at 'offtheCanvas' India HCI prelude
Alan Dix
 
一比一原版(毕业证)长崎大学毕业证成绩单如何办理
一比一原版(毕业证)长崎大学毕业证成绩单如何办理一比一原版(毕业证)长崎大学毕业证成绩单如何办理
一比一原版(毕业证)长崎大学毕业证成绩单如何办理
taqyed
 
一比一原版(Brunel毕业证书)布鲁内尔大学毕业证成绩单如何办理
一比一原版(Brunel毕业证书)布鲁内尔大学毕业证成绩单如何办理一比一原版(Brunel毕业证书)布鲁内尔大学毕业证成绩单如何办理
一比一原版(Brunel毕业证书)布鲁内尔大学毕业证成绩单如何办理
smpc3nvg
 
一比一原版(NCL毕业证书)纽卡斯尔大学毕业证成绩单如何办理
一比一原版(NCL毕业证书)纽卡斯尔大学毕业证成绩单如何办理一比一原版(NCL毕业证书)纽卡斯尔大学毕业证成绩单如何办理
一比一原版(NCL毕业证书)纽卡斯尔大学毕业证成绩单如何办理
7sd8fier
 
Common Designing Mistakes and How to avoid them
Common Designing Mistakes and How to avoid themCommon Designing Mistakes and How to avoid them
Common Designing Mistakes and How to avoid them
madhavlakhanpal29
 
一比一原版(UCB毕业证书)伯明翰大学学院毕业证成绩单如何办理
一比一原版(UCB毕业证书)伯明翰大学学院毕业证成绩单如何办理一比一原版(UCB毕业证书)伯明翰大学学院毕业证成绩单如何办理
一比一原版(UCB毕业证书)伯明翰大学学院毕业证成绩单如何办理
h7j5io0
 
Research 20 slides Amelia gavryliuks.pdf
Research 20 slides Amelia gavryliuks.pdfResearch 20 slides Amelia gavryliuks.pdf
Research 20 slides Amelia gavryliuks.pdf
ameli25062005
 
Borys Sutkowski portfolio interior design
Borys Sutkowski portfolio interior designBorys Sutkowski portfolio interior design
Borys Sutkowski portfolio interior design
boryssutkowski
 
原版定做(penn毕业证书)美国宾夕法尼亚大学毕业证文凭学历证书原版一模一样
原版定做(penn毕业证书)美国宾夕法尼亚大学毕业证文凭学历证书原版一模一样原版定做(penn毕业证书)美国宾夕法尼亚大学毕业证文凭学历证书原版一模一样
原版定做(penn毕业证书)美国宾夕法尼亚大学毕业证文凭学历证书原版一模一样
gpffo76j
 
Design Thinking Design thinking Design thinking
Design Thinking Design thinking Design thinkingDesign Thinking Design thinking Design thinking
Design Thinking Design thinking Design thinking
cy0krjxt
 
一比一原版(BU毕业证书)伯恩茅斯大学毕业证成绩单如何办理
一比一原版(BU毕业证书)伯恩茅斯大学毕业证成绩单如何办理一比一原版(BU毕业证书)伯恩茅斯大学毕业证成绩单如何办理
一比一原版(BU毕业证书)伯恩茅斯大学毕业证成绩单如何办理
h7j5io0
 
Design Thinking Design thinking Design thinking
Design Thinking Design thinking Design thinkingDesign Thinking Design thinking Design thinking
Design Thinking Design thinking Design thinking
cy0krjxt
 
一比一原版(MMU毕业证书)曼彻斯特城市大学毕业证成绩单如何办理
一比一原版(MMU毕业证书)曼彻斯特城市大学毕业证成绩单如何办理一比一原版(MMU毕业证书)曼彻斯特城市大学毕业证成绩单如何办理
一比一原版(MMU毕业证书)曼彻斯特城市大学毕业证成绩单如何办理
7sd8fier
 
Mohannad Abdullah portfolio _ V2 _22-24
Mohannad Abdullah  portfolio _ V2 _22-24Mohannad Abdullah  portfolio _ V2 _22-24
Mohannad Abdullah portfolio _ V2 _22-24
M. A. Architect
 
Design Thinking Design thinking Design thinking
Design Thinking Design thinking Design thinkingDesign Thinking Design thinking Design thinking
Design Thinking Design thinking Design thinking
cy0krjxt
 
一比一原版(LSE毕业证书)伦敦政治经济学院毕业证成绩单如何办理
一比一原版(LSE毕业证书)伦敦政治经济学院毕业证成绩单如何办理一比一原版(LSE毕业证书)伦敦政治经济学院毕业证成绩单如何办理
一比一原版(LSE毕业证书)伦敦政治经济学院毕业证成绩单如何办理
jyz59f4j
 
一比一原版(UAL毕业证书)伦敦艺术大学毕业证成绩单如何办理
一比一原版(UAL毕业证书)伦敦艺术大学毕业证成绩单如何办理一比一原版(UAL毕业证书)伦敦艺术大学毕业证成绩单如何办理
一比一原版(UAL毕业证书)伦敦艺术大学毕业证成绩单如何办理
708pb191
 

Recently uploaded (20)

一比一原版(Columbia毕业证)哥伦比亚大学毕业证如何办理
一比一原版(Columbia毕业证)哥伦比亚大学毕业证如何办理一比一原版(Columbia毕业证)哥伦比亚大学毕业证如何办理
一比一原版(Columbia毕业证)哥伦比亚大学毕业证如何办理
 
一比一原版(Bristol毕业证书)布里斯托大学毕业证成绩单如何办理
一比一原版(Bristol毕业证书)布里斯托大学毕业证成绩单如何办理一比一原版(Bristol毕业证书)布里斯托大学毕业证成绩单如何办理
一比一原版(Bristol毕业证书)布里斯托大学毕业证成绩单如何办理
 
Book Formatting: Quality Control Checks for Designers
Book Formatting: Quality Control Checks for DesignersBook Formatting: Quality Control Checks for Designers
Book Formatting: Quality Control Checks for Designers
 
Can AI do good? at 'offtheCanvas' India HCI prelude
Can AI do good? at 'offtheCanvas' India HCI preludeCan AI do good? at 'offtheCanvas' India HCI prelude
Can AI do good? at 'offtheCanvas' India HCI prelude
 
一比一原版(毕业证)长崎大学毕业证成绩单如何办理
一比一原版(毕业证)长崎大学毕业证成绩单如何办理一比一原版(毕业证)长崎大学毕业证成绩单如何办理
一比一原版(毕业证)长崎大学毕业证成绩单如何办理
 
一比一原版(Brunel毕业证书)布鲁内尔大学毕业证成绩单如何办理
一比一原版(Brunel毕业证书)布鲁内尔大学毕业证成绩单如何办理一比一原版(Brunel毕业证书)布鲁内尔大学毕业证成绩单如何办理
一比一原版(Brunel毕业证书)布鲁内尔大学毕业证成绩单如何办理
 
一比一原版(NCL毕业证书)纽卡斯尔大学毕业证成绩单如何办理
一比一原版(NCL毕业证书)纽卡斯尔大学毕业证成绩单如何办理一比一原版(NCL毕业证书)纽卡斯尔大学毕业证成绩单如何办理
一比一原版(NCL毕业证书)纽卡斯尔大学毕业证成绩单如何办理
 
Common Designing Mistakes and How to avoid them
Common Designing Mistakes and How to avoid themCommon Designing Mistakes and How to avoid them
Common Designing Mistakes and How to avoid them
 
一比一原版(UCB毕业证书)伯明翰大学学院毕业证成绩单如何办理
一比一原版(UCB毕业证书)伯明翰大学学院毕业证成绩单如何办理一比一原版(UCB毕业证书)伯明翰大学学院毕业证成绩单如何办理
一比一原版(UCB毕业证书)伯明翰大学学院毕业证成绩单如何办理
 
Research 20 slides Amelia gavryliuks.pdf
Research 20 slides Amelia gavryliuks.pdfResearch 20 slides Amelia gavryliuks.pdf
Research 20 slides Amelia gavryliuks.pdf
 
Borys Sutkowski portfolio interior design
Borys Sutkowski portfolio interior designBorys Sutkowski portfolio interior design
Borys Sutkowski portfolio interior design
 
原版定做(penn毕业证书)美国宾夕法尼亚大学毕业证文凭学历证书原版一模一样
原版定做(penn毕业证书)美国宾夕法尼亚大学毕业证文凭学历证书原版一模一样原版定做(penn毕业证书)美国宾夕法尼亚大学毕业证文凭学历证书原版一模一样
原版定做(penn毕业证书)美国宾夕法尼亚大学毕业证文凭学历证书原版一模一样
 
Design Thinking Design thinking Design thinking
Design Thinking Design thinking Design thinkingDesign Thinking Design thinking Design thinking
Design Thinking Design thinking Design thinking
 
一比一原版(BU毕业证书)伯恩茅斯大学毕业证成绩单如何办理
一比一原版(BU毕业证书)伯恩茅斯大学毕业证成绩单如何办理一比一原版(BU毕业证书)伯恩茅斯大学毕业证成绩单如何办理
一比一原版(BU毕业证书)伯恩茅斯大学毕业证成绩单如何办理
 
Design Thinking Design thinking Design thinking
Design Thinking Design thinking Design thinkingDesign Thinking Design thinking Design thinking
Design Thinking Design thinking Design thinking
 
一比一原版(MMU毕业证书)曼彻斯特城市大学毕业证成绩单如何办理
一比一原版(MMU毕业证书)曼彻斯特城市大学毕业证成绩单如何办理一比一原版(MMU毕业证书)曼彻斯特城市大学毕业证成绩单如何办理
一比一原版(MMU毕业证书)曼彻斯特城市大学毕业证成绩单如何办理
 
Mohannad Abdullah portfolio _ V2 _22-24
Mohannad Abdullah  portfolio _ V2 _22-24Mohannad Abdullah  portfolio _ V2 _22-24
Mohannad Abdullah portfolio _ V2 _22-24
 
Design Thinking Design thinking Design thinking
Design Thinking Design thinking Design thinkingDesign Thinking Design thinking Design thinking
Design Thinking Design thinking Design thinking
 
一比一原版(LSE毕业证书)伦敦政治经济学院毕业证成绩单如何办理
一比一原版(LSE毕业证书)伦敦政治经济学院毕业证成绩单如何办理一比一原版(LSE毕业证书)伦敦政治经济学院毕业证成绩单如何办理
一比一原版(LSE毕业证书)伦敦政治经济学院毕业证成绩单如何办理
 
一比一原版(UAL毕业证书)伦敦艺术大学毕业证成绩单如何办理
一比一原版(UAL毕业证书)伦敦艺术大学毕业证成绩单如何办理一比一原版(UAL毕业证书)伦敦艺术大学毕业证成绩单如何办理
一比一原版(UAL毕业证书)伦敦艺术大学毕业证成绩单如何办理
 

APB protocol v1.0

  • 1. APB Protocol v 1.0 ● Introduction ● Transfers ● Operating States ● Signal Descriptions
  • 2. Conventions This section describes the conventions that this specification uses: •Typographical ● Timing diagrams •Signals
  • 3. Typographical ● This specification uses the following typographical conventions: ● Italic - Highlights important notes, introduces special terminology,denotes internal cross-references, and citations. ● Bold - Highlights interface elements, such as menu names. Denotes ARM processor signal names. Also used for terms in descriptive lists, where appropriate. ● Monospace - Denotes text that you can enter at the keyboard, such as commands, file and program names, and source code. ● Monospace - Denotes a permitted abbreviation for a command or option. You can enter the underlined text instead of the full command or option name.
  • 4. ●monospace italic - Denotes arguments to monospace text where the argument is to be replaced by a specific value. ●monospace bold - denotes language keywords when used outside example code. ●<and> - Angle brackets enclose replaceable terms for assembler syntax where they appear in code or code fragments. They appear in normal font in running text. For example: ● MRC p15, 0 <Rd>, <CRn>, <CRm>, <Opcode_2> ● The Opcode_2 value selects which register is accessed.
  • 7. Signals ● The signal conventions are: ● Signal level - The level of an asserted signal depends on whether the signal is active-HIGH or active-LOW. Asserted means HIGH for active-HIGH signals and LOW for active- LOW signals. ● Prefix P - Denotes AMBA 3 APB signals. ● Suffix n - Denotes AXI, AHB, and AMBA 3 APB reset signals.
  • 8. About the AMBA 3 APB ● The APB is part of the AMBA 3 protocol family. It provides a low cost interface that is optimized for minimal power consumption and reduced interface complexity. ● The APB interfaces to any peripherals that are low-bandwidth and do not require the high performance of a pipelined bus interface. The APB has unpipelined protocol. ● All signal transitions are only related to the rising edge of the clock to enable the integration of APB peripherals easily into any design flow. Every transfer takes at least two cycles. ● The APB can interface with the AMBA Advanced High-performance Bus Lite (AHB-Lite) and AMBA Advanced Extensible Interface (AXI). You can use it to provide access to the programmable control registers of peripheral devices.
  • 9. Changes for AMBA 3 APB Protocol Specification v1.0 ● This version includes: ● A ready signal, PREADY, to extend an APB transfer. ● An error signal, PSLVERR, to indicate the failure of a transfer.
  • 10. Transfers ● Write transfers ● Two types of write transfer are described in this section: ● With no wait states ● With wait states.
  • 11. With no wait states
  • 12. The write transfer starts with the address, write data, write signal and select signal all changing after the rising edge of the clock. The first clock cycle of the transfer is called the Setup phase. After the following clock edge the enable signal is asserted, PENABLE, and this indicates that the Access phase is taking place. The address, data and control signals all remain valid throughout the Access phase. The transfer completes at the end of this cycle. The enable signal, PENABLE, is deasserted at the end of the transfer. The select signal, PSELx, also goes LOW unless the transfer is to be followed immediately by another transfer to the same peripheral.
  • 13. With wait states ● Figure shows how the PREADY signal from the slave can extend the transfer. During an Access phase, when PENABLE is HIGH, the transfer can be extended by driving PREADY LOW. The following signals remain unchanged for the additional cycles: ● address, PADDR ● write signal, PWRITE ● select signal, PSEL ● enable signal, PENABLE ● write data, PWDATA.
  • 14. Write transfer with wait states
  • 15. ● PREADY can take any value when PENABLE is LOW. This ensures that peripherals that have a fixed two cycle access can tie PREADY HIGH. ● NOTE - It is recommended that the address and write signals are not changed immediately after a transfer but remain stable until another access occurs. This reduces power consumption.
  • 16. Read transfers ● Two types of read transfer are described in this section: ● With no wait states ● With wait states.
  • 17. With no wait states Figure shows a read transfer. The timing of the address, write, select, and enable signals are as described in Write transfers on page 2-2. The slave must provide the data before the end of the read transfer
  • 18. With wait states ● Figure shows how the PREADY signal can extend the transfer. The transfer is extended if PREADY is driven LOW during an Access phase. The protocol ensures that the following remain unchanged for the additional cycles: ● address, PADDR ● write signal, PWRITE ● select signal, PSEL ● enable signal, PENABLE. ● Figure shows that two cycles are added using the PREADY signal. However, you can add any number of additional cycles, from zero upwards.
  • 19.
  • 20. Error response ● You can use PSLVERR to indicate an error condition on an APB transfer. Error conditions can occur on both read and write transactions. ● PSLVERR is only considered valid during the last cycle of an APB transfer, when PSEL, PENABLE, and PREADY are all HIGH ● It is recommended, but not mandatory, that you drive PSLVERR LOW when it is not being sampled. That is, when any of PSEL, PENABLE, or PREADY are LOW.
  • 21. Error response contd.. ● Transactions that receive an error, might or might not have changed the state of the peripheral. This is peripheral-specific and either is acceptable. When a write transaction receives an error this does not mean that the register within the peripheral has not been updated. Read transactions that receive an error can return invalid data. There is no requirement for the peripheral to drive the data bus to all 0s for a read error. ● APB peripherals are not required to support the PSLVERR pin. This is true for both existing and new APB peripheral designs. Where a peripheral does not include this pin then the appropriate input to the APB bridge is tied LOW.
  • 22. Write transfer ● Figure shows an example of a failing write transfer that completes with an error.
  • 23. Read transfer ● A read transfer can also complete with an error response, indicating that there is no valid read data available. Figure 2-6 on page 2-7 shows a read transfer completing with an error response.
  • 24. Mapping of PSLVERR ● When bridging: ● From AXI to APB An APB error is mapped back to RRESP/BRESP = SLVERR. This is achieved by mapping PSLVERR to the AXI signals RRESP[1] for reads and BRESP[1] for writes. ● From AHB to APB PSLVERR is mapped back to HRESP = ERROR for both reads and writes. This is ac
  • 25. Operating States Figure shows the operational activity of the APB.
  • 26. The state machine operates through the following states: ● IDLE - This is the default state of the APB. ● SETUP - When a transfer is required the bus moves into the SETUP state, where the appropriate select signal, PSELx, is asserted. The bus only remains in the SETUP state for one clock cycle and always moves to the ACCESS state on the next rising edge of the clock.
  • 27. Contd.... ● ACCESS - The enable signal, PENABLE, is asserted in the ACCESS state. The address, write, select, and write data signals must remain stable during the transition from the SETUP to ACCESS state. Exit from the ACCESS state is controlled by the PREADY signal from the slave: 1 - If PREADY is held LOW by the slave then the peripheral bus remains in the ACCESS state. 2 - If PREADY is driven HIGH by the slave then the ACCESS state is exited and the bus returns to the IDLE state if no more transfers are required. Alternatively, the bus moves directly to the SETUP state if another transfer follows.