This document summarizes the implementation of an AMBA ASB APB bridge using Verilog HDL. It describes the key components modeled: an arbiter to determine bus access, a decoder to select bus slaves, the APB bridge interface between the ASB and APB, a reset controller, and a remap and pause controller. Finite state machines are used to control the operation of the arbiter, decoder, APB bridge, and reset controller. The bridge allows higher performance blocks like processors to connect to the ASB while lower performance peripherals connect to the lower power APB.
The document discusses different communication infrastructures (CIs) like point-to-point, bus, and Network-on-Chip (NoC). It then proposes an approach that has a fixed part and reconfigurable slots that can be configured with computational or CI modules at runtime to adapt the CI. The approach can adapt to different CIs and is demonstrated with a complete example. Future work includes exploring the sizes of the fixed part, slots, and CIs.
Design And Verification of AMBA APB ProtocolIJERA Editor
Advanced microcontroller bus architecture (AMBA) is a well established open specification for the proper management of functional blocks comprising system-on-chips (SOCs). A Memory Controller is designed to cater to this problem. This design presents an intellectual property (IP) for inter-Advanced peripheral bus (APB) protocol. The Memory Controller is a digital circuit which manages the flow of data going to and from the main memory. It can be a separate chip or can be integrated into the system chipset. This paper revolves around building an Advanced Microcontroller Bus Architecture (AMBA) compliant Memory Controller as an Advanced High-performance Bus (AHB) slave. The work involved is of APB Protocol and its slave Verification. The whole design is captured using VHDL, simulated with ModelSim and configured to a FPGA target device belonging to the Virtex4 family using Xilinx.
VLSI DESIGN OF AMBA BASED AHB2APBBRIDGEVLSICS Design
The Advanced Microcontroller Bus Architecture (AMBA) is an open System-on-Chip bus protocol for highperformance buses to communicate with low-power devices. In the AMBA Advanced High Performance bus (AHB) a system bus is used to connect a processor, a DSP, and high-performance memory controllers where as the AMBA Advanced Peripheral Bus (APB) is used to connect (Universal Asynchronous Receiver Transmitter) UART. It also contains a Bridge, which connects the AHB and APB buses. Bridges are standard bus-to-bus interfaces that allow IPs connected to different buses to communicate with each other in a standardized way. So AHB2APB bridge is designed, implemented using VERILOG tool and tested using Verilog testbench and is reported in this paper. A synthesizable RTL code of a complex interface bridge between AHB and APB is developed and known as AHB2APB Bridge. The simulated AHB2APB Bridge results are promising and can be further tested for its verstality by writing a verification program using UVM in future.
This document proposes a novel system-on-chip (SoC) design methodology that combines adaptive software and reconfigurable hardware. It aims to reduce the performance gap between hardware and software. The methodology characterizes tasks based on their relationship to hardware and software. It uses adaptive computing techniques and reconfiguration to move software tasks to hardware when beneficial. This improves overall system throughput by exploiting the self-adjusting properties of adaptive computing. The methodology is demonstrated on a case study of edge detection in digital images, showing adaptive computing on software is faster than hardware after initial setup.
IRJET - Design of AMBA based AHB2APB Protocol for Efficient Utilization of AH...IRJET Journal
This document describes the design and implementation of an AHB2APB bridge to efficiently connect the Advanced High-performance Bus (AHB) and Advanced Peripheral Bus (APB) in an AMBA-based system on chip (SoC) design. The AHB2APB bridge interfaces the two buses by buffering addresses and controlling the flow of data and control signals between the AHB and APB. Behavioral simulations were performed to verify the functionality of the bridge during single read/write, burst read/write, and back-to-back operations. The results indicate the bridge efficiently implements the AHB to APB protocol with low resource utilization on an Artix-7 FPGA board.
The document discusses various techniques for achieving fault tolerance in FPGA-based systems, including triple module redundancy, error detection and correction, and partial reconfiguration. It describes approaches like replicating logic modules, state machines, I/O, and BRAM, as well as using error correction codes. Dynamic partial reconfiguration is presented as a method to reconfigure faulty areas at runtime while the system continues operating. The conclusion states that reliable systems can be built on FPGAs by combining these techniques to improve overall design reliability with low area overhead.
PA Design Using Harmonic Balance Simulation With Only S-parametIvan Boshnakov
This document describes a method for designing and simulating power amplifiers using only S-parameter data and harmonic balance simulation. The method extends Steve Cripps' load line approach and Pieter Abrie's power parameters to create linear models that allow harmonic balance simulation without needing nonlinear transistor models. As an example, the document details the design of a three-stage 0.5-2.5 GHz GaN power amplifier using this approach, validating the results with measurements showing similar output power and gain. It concludes that incorporating power parameter methods into general simulators could enhance RF/microwave amplifier design capabilities.
An On-Chip Bus Tracer Analyzer With Amba AHB For Real Time Tracing With Lossl...IJERA Editor
The Advanced Microcontroller Bus Architecture (AMBA) widely used as the on-chip bus in System-on-a-chip (SoC) designs. The important aspect of a SoC is not only which components or blocks it houses, but also how they are interconnected. AMBA is a solution for the blocks to interface with each other. The biggest challenge in SoC design is in validating and testing the system. AHB Bus Tracer is a significant infrastructure that is needed to monitor the on chip-bus signals, which is vital for debugging and performance analysis and also optimizing the SOC. Basically on chip signals are difficult to observe since they are deeply embedded in a SoC and no sufficient I/O pins are required to access those signals. Therefore, we embed a bus tracer in SoC to capture the bus signals and store them. The AMBA AHB should be used to which are high bandwidth and require the high performance of a pipelined bus interface. Performance can be improved at high-frequency operation. Performance is independent of the mark-space ratio of the clock. No special considerations are required for automatic test insertion. Our aim in this project is to Design the AHB- protocol with bus tracer. For real-time tracing, we should reduce the trace size as much as possible without reducing the original data.SYS-HMRBT supports tracing after/before an event triggering, named post-triggering trace/pre-triggering trace, respectively. SYS-HMRBT runs at 500 MHz and costs 42 K gates in TSMC 0.13- m technology, indicating that it is capable of real time tracing and is very small in modern SoCs.The experimental results show that trace compression ratio reduced by 96.32%. Finally this approach was designed successfully along with MODEL SIM and synthesis using Xilinx ISE. The SoC can be verified in field-programmable gate array.
The document discusses different communication infrastructures (CIs) like point-to-point, bus, and Network-on-Chip (NoC). It then proposes an approach that has a fixed part and reconfigurable slots that can be configured with computational or CI modules at runtime to adapt the CI. The approach can adapt to different CIs and is demonstrated with a complete example. Future work includes exploring the sizes of the fixed part, slots, and CIs.
Design And Verification of AMBA APB ProtocolIJERA Editor
Advanced microcontroller bus architecture (AMBA) is a well established open specification for the proper management of functional blocks comprising system-on-chips (SOCs). A Memory Controller is designed to cater to this problem. This design presents an intellectual property (IP) for inter-Advanced peripheral bus (APB) protocol. The Memory Controller is a digital circuit which manages the flow of data going to and from the main memory. It can be a separate chip or can be integrated into the system chipset. This paper revolves around building an Advanced Microcontroller Bus Architecture (AMBA) compliant Memory Controller as an Advanced High-performance Bus (AHB) slave. The work involved is of APB Protocol and its slave Verification. The whole design is captured using VHDL, simulated with ModelSim and configured to a FPGA target device belonging to the Virtex4 family using Xilinx.
VLSI DESIGN OF AMBA BASED AHB2APBBRIDGEVLSICS Design
The Advanced Microcontroller Bus Architecture (AMBA) is an open System-on-Chip bus protocol for highperformance buses to communicate with low-power devices. In the AMBA Advanced High Performance bus (AHB) a system bus is used to connect a processor, a DSP, and high-performance memory controllers where as the AMBA Advanced Peripheral Bus (APB) is used to connect (Universal Asynchronous Receiver Transmitter) UART. It also contains a Bridge, which connects the AHB and APB buses. Bridges are standard bus-to-bus interfaces that allow IPs connected to different buses to communicate with each other in a standardized way. So AHB2APB bridge is designed, implemented using VERILOG tool and tested using Verilog testbench and is reported in this paper. A synthesizable RTL code of a complex interface bridge between AHB and APB is developed and known as AHB2APB Bridge. The simulated AHB2APB Bridge results are promising and can be further tested for its verstality by writing a verification program using UVM in future.
This document proposes a novel system-on-chip (SoC) design methodology that combines adaptive software and reconfigurable hardware. It aims to reduce the performance gap between hardware and software. The methodology characterizes tasks based on their relationship to hardware and software. It uses adaptive computing techniques and reconfiguration to move software tasks to hardware when beneficial. This improves overall system throughput by exploiting the self-adjusting properties of adaptive computing. The methodology is demonstrated on a case study of edge detection in digital images, showing adaptive computing on software is faster than hardware after initial setup.
IRJET - Design of AMBA based AHB2APB Protocol for Efficient Utilization of AH...IRJET Journal
This document describes the design and implementation of an AHB2APB bridge to efficiently connect the Advanced High-performance Bus (AHB) and Advanced Peripheral Bus (APB) in an AMBA-based system on chip (SoC) design. The AHB2APB bridge interfaces the two buses by buffering addresses and controlling the flow of data and control signals between the AHB and APB. Behavioral simulations were performed to verify the functionality of the bridge during single read/write, burst read/write, and back-to-back operations. The results indicate the bridge efficiently implements the AHB to APB protocol with low resource utilization on an Artix-7 FPGA board.
The document discusses various techniques for achieving fault tolerance in FPGA-based systems, including triple module redundancy, error detection and correction, and partial reconfiguration. It describes approaches like replicating logic modules, state machines, I/O, and BRAM, as well as using error correction codes. Dynamic partial reconfiguration is presented as a method to reconfigure faulty areas at runtime while the system continues operating. The conclusion states that reliable systems can be built on FPGAs by combining these techniques to improve overall design reliability with low area overhead.
PA Design Using Harmonic Balance Simulation With Only S-parametIvan Boshnakov
This document describes a method for designing and simulating power amplifiers using only S-parameter data and harmonic balance simulation. The method extends Steve Cripps' load line approach and Pieter Abrie's power parameters to create linear models that allow harmonic balance simulation without needing nonlinear transistor models. As an example, the document details the design of a three-stage 0.5-2.5 GHz GaN power amplifier using this approach, validating the results with measurements showing similar output power and gain. It concludes that incorporating power parameter methods into general simulators could enhance RF/microwave amplifier design capabilities.
An On-Chip Bus Tracer Analyzer With Amba AHB For Real Time Tracing With Lossl...IJERA Editor
The Advanced Microcontroller Bus Architecture (AMBA) widely used as the on-chip bus in System-on-a-chip (SoC) designs. The important aspect of a SoC is not only which components or blocks it houses, but also how they are interconnected. AMBA is a solution for the blocks to interface with each other. The biggest challenge in SoC design is in validating and testing the system. AHB Bus Tracer is a significant infrastructure that is needed to monitor the on chip-bus signals, which is vital for debugging and performance analysis and also optimizing the SOC. Basically on chip signals are difficult to observe since they are deeply embedded in a SoC and no sufficient I/O pins are required to access those signals. Therefore, we embed a bus tracer in SoC to capture the bus signals and store them. The AMBA AHB should be used to which are high bandwidth and require the high performance of a pipelined bus interface. Performance can be improved at high-frequency operation. Performance is independent of the mark-space ratio of the clock. No special considerations are required for automatic test insertion. Our aim in this project is to Design the AHB- protocol with bus tracer. For real-time tracing, we should reduce the trace size as much as possible without reducing the original data.SYS-HMRBT supports tracing after/before an event triggering, named post-triggering trace/pre-triggering trace, respectively. SYS-HMRBT runs at 500 MHz and costs 42 K gates in TSMC 0.13- m technology, indicating that it is capable of real time tracing and is very small in modern SoCs.The experimental results show that trace compression ratio reduced by 96.32%. Finally this approach was designed successfully along with MODEL SIM and synthesis using Xilinx ISE. The SoC can be verified in field-programmable gate array.
A comparative study of full adder using static cmos logic styleeSAT Publishing House
This document compares different 1-bit CMOS full adder circuit designs using static CMOS logic style. It analyzes circuits like conventional CMOS, complementary pass transistor logic, double pass transistor logic, transmission gate, transmission function, new 14T, and GDI full adders. The comparison is based on parameters like number of transistors, delay, power dissipation, and power-delay product. Simulation results at the 180nm technology node show tradeoffs between different designs - no single adder performs best across all metrics. The document concludes that the results help select an appropriate adder for a given application based on priority of delay, power, or area.
Modified digital space vector pulse width modulation realization on low-cost ...IJECEIAES
The realization of power electronic applications on hardware is a challenging task. The digital control circuit strategies are used to overcome the analog control strategies by providing great flexibility with simple equipment and higher switching frequencies. In this manuscript, an area optimized, modified digital space vector (DSV) pulse width modulation is designed and realized on low-cost FPGA. The modified digital space vector pulse width modulation (DSVPWM) uses a phase-locked loop (PLL) to generate clocks using the digital clock manager (DCM). These DCM clocks are used in the DSVPWM module to synchronize the other sub-modules. The voltage generation unit generates the three-phase (3-Ф) voltages and is used in the alpha-beta generation and sector determination unit. The reference active vectors are made by the reference generation unit and used in switching time calculation. The PWM pulses are generated using switching time generation, and lastly, the dead time occurrence unit generates the final SVPWM gate pulses. The modified DSVPWM is synthesized and implemented on Spartan3E FPGA. The modified DSVPWM utilizes 17% slices, works at 102.45 MHz, and consumes 0.070 W total power. The simulation results and the resource utilization of modified DSVPWM are represented in detail. The modified DSVPWM is compared with existing PWM approaches on different Spartan-series FPGAs with better chip area improvement.
Design of high speed adders for efficient digital design blocksBharath Chary
This document discusses the design of high-speed adders for efficient digital design blocks. It compares parallel-prefix adders like Kogge-Stone, Brent-Kung, Sklansky, and Kogge-Stone Ling adders. The Kogge-Stone Ling adder is found to perform most efficiently. Different tree adder structures are designed using CMOS logic and transmission gate logic and compared in terms of area, delay, and power consumption. Ling adders are analyzed, and it is shown that they reduce dependency on previous bit additions compared to other adders.
DESIGN AND IMPLEMENTATION OF LOW POWER ALU USING CLOCK GATING AND CARRY SELEC...IAEME Publication
CPUs in general-purpose personal computers, such as desktops and laptops, dissipate significantly more power in the order of few watts because of their higher complexity and speed. ALU is a fundamental building block of CPU. It does all process related to arithmetic and logic operations. As the operations become more complex, the ALU become more complex, more expensive, takes up more space in the CPU and contributes more power dissipation within the CPU. Hence power consumption of ALU is a major issue in the designing of CPU.
Highly -increasing requirement for mobile and several electronic devices want the use of VLSI circuits which are highly power efficient. The most primitive arithmetic operation in processors is addition and the adder is the most highly used arithmetic component of the processor. Carry Select Adder (CSA) is one of the fastest adders and the structure of the CSA shows that there is a possibility for increasing its efficiency by reducing the power dissipation and area in the CSA. This research paper presents power and delay analysis of various adders and proposed a 32-bit CSA that is implemented using variable size of the combination of adders, thus the proposed Carry select Adder (CSA) which has minimum Delay, and less power consumption hence improving the efficiency and speed of the Carry Select Adder.
The document proposes a novel approach for designing high speed carry select adders. It describes replacing conventional dual ripple carry adders in carry select adders with a single ripple carry adder and add-one circuit to reduce area. The proposed design is evaluated through Verilog simulation and synthesis using a 0.18um technology. Results show the proposed carry select adder achieves reduced delay and area compared to regular carry select adders.
DESIGN AND PERFORMANCE ANALYSIS OF BINARY ADDERS_editedShital Badaik
The document describes the design and performance analysis of various binary adders. It discusses the design of ripple carry adders of sizes 4-bit, 8-bit and 16-bit. The designs are implemented using Verilog HDL and simulated using Xilinx ISE simulator. The performance parameters like area and delay are determined and compared for different adder designs including carry look ahead adder, carry select adder, carry skip adder, carry increment adder and carry save adder.
The document discusses various digital circuit techniques for arithmetic operations like addition and multiplication. It covers binary adders, full adders, ripple carry adders, carry lookahead adders, carry save adders, array multipliers, Wallace tree multipliers, and other basic datapath elements. The document also discusses design considerations like transistor count, critical path, performance, power, and layout strategies.
Implementation of Low Power and Area Efficient Carry Select Adderinventionjournals
International Journal of Engineering and Science Invention (IJESI) is an international journal intended for professionals and researchers in all fields of computer science and electronics. IJESI publishes research articles and reviews within the whole field Engineering Science and Technology, new teaching methods, assessment, validation and the impact of new technologies and it will continue to provide information on the latest trends and developments in this ever-expanding subject. The publications of papers are selected through double peer reviewed to ensure originality, relevance, and readability. The articles published in our journal can be accessed online.
This document proposes a modified carry select adder design to reduce area and power consumption compared to a regular carry select adder. A carry select adder uses two ripple carry adders and a multiplexer to perform addition. The proposed design replaces one of the ripple carry adders with a binary-excess-1 converter to reduce the number of full adders. Simulation results show the modified design reduces area by reducing the gate count and also lowers power consumption compared to a regular carry select adder design. The modified design has applications in arithmetic logic units, high-speed multiplications, and advanced microprocessor designs due to its lower area and power.
This document describes the design and implementation of a 12-bit cyclic analog-to-digital converter (ADC) for use in column-parallel readout of CMOS image sensors. It examines various architectures for the multiplying digital-to-analog converter (MDAC) and comparator components. A single-ended MDAC architecture is chosen to minimize area, and techniques like return-to-zero coding and digital correlated double sampling are used to reduce the impact of noise. Three comparator architectures - static latched, class AB, and dynamic - are explored. The dynamic implementation provides the best power efficiency but has a limited output voltage range.
Design and Verification of Area Efficient Carry Select Adderijsrd.com
Carry Select Adder (CSLA) is one of the fastest adders used in many data-processing processors to perform fast arithmetic functions. From the structure of the CSLA, it is clear that there is scope for reducing the area and power consumption in the CSLA. This work uses a simple and efficient gate-level modification to significantly reduce the area and power of the CSLA. Based on this modification 16, 32 square - root CSLA (SQRT CSLA) architecture have been developed and compared with the regular SQRT CSLA architecture. The proposed design has reduced area and power as compared with the regular SQRT CSLA with only a slight increase in the delay. This work evaluates the performance of the proposed designs in terms of delay, area.
IRJET- Implementation and Analysis of Hybridization in Modified Parallel Adde...IRJET Journal
The document compares different types of adder circuits including carry save adders (CSA), carry skip adders (CSkA), carry increment adders (CIA), and modified carry select adders using D-latches. It analyzes the delay, power consumption, and area of hybrid adders that combine elements of different adders, such as a CSA-CSkA hybrid adder and CSA-CIA hybrid adder. Simulation results using Verilog HDL show that the hybrid adders have lower delay, area, or power consumption compared to basic adder circuits. The most efficient adder depends on the specific metrics considered such as being best for lower or higher bit operations.
implementation and comparision of effective area efficient architecture for CSLAvenkatesh nayakoti
This document presents a modified carry select adder (CSLA) architecture to improve efficiency over a regular CSLA. The modified design replaces one of the ripple carry adders in the CSLA with a binary-excess-1 converter to reduce the number of gates. Simulation results show the modified 16-bit CSLA has fewer gates and offers advantages of lower area, power and complexity compared to a regular CSLA design. The modified architecture provides an efficient alternative for low area and low power VLSI implementations.
International Journal of Engineering Research and Applications (IJERA) is an open access online peer reviewed international journal that publishes research and review articles in the fields of Computer Science, Neural Networks, Electrical Engineering, Software Engineering, Information Technology, Mechanical Engineering, Chemical Engineering, Plastic Engineering, Food Technology, Textile Engineering, Nano Technology & science, Power Electronics, Electronics & Communication Engineering, Computational mathematics, Image processing, Civil Engineering, Structural Engineering, Environmental Engineering, VLSI Testing & Low Power VLSI Design etc.
This project aims to create a prototype for a driverless metro train. An 8051 microcontroller is used to control the motion of a stepper motor that moves the train. An LCD display shows messages and the train's position at stations. Sensors provide input to the microcontroller to stop the train at stations for 3 seconds and travel between stations in 6 seconds. LEDs indicate the train's direction and a buzzer sounds when approaching a station. The basic circuit includes a power supply, microcontroller, display unit, and stepper motor. This project could help reduce costs and increase safety compared to traditional trains.
This document describes the design and verification of an 8x8 Vedic multiplier using a 90nm CMOS process. It presents the design methodology, including the use of Vedic multiplication algorithms to reduce computational steps compared to traditional methods. Transistor-level schematics for 2x2 and 4x4 multiplier modules are designed in Cadence using a 90nm library. The 4x4 module uses ripple carry adders to sum partial products in parallel. Simulation results verify the transistor-level designs match an ideal multiplier designed in Verilog, demonstrating an efficient digital multiplier based on Vedic mathematics.
The document discusses the implementation of 8-bit conditional sum adders and parallel prefix adders for fast addition. It introduces conditional sum adders that generate output sums and carries assuming an input carry of 0 or 1. Parallel prefix adders perform addition in parallel using different tree structures, including Brent-Kung, Kogge-Stone, and Ladner-Fischer adders. Circuit diagrams, layouts, and simulated waveforms are presented for 8-bit implementations of conditional sum adders and each type of parallel prefix adder. Potential areas for expansion include higher radix adders.
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGEVLSICS Design
The Advanced Microcontroller Bus Architecture (AMBA) is an open System-on-Chip bus protocol for highperformance buses to communicate with low-power devices. In the AMBA Advanced High Performance bus (AHB) a system bus is used to connect a processor, a DSP, and high-performance memory controllers where as the AMBA Advanced Peripheral Bus (APB) is used to connect (Universal Asynchronous Receiver Transmitter) UART. It also contains a Bridge, which connects the AHB and APB buses. Bridges are standard bus-to-bus interfaces that allow IPs connected to different buses to communicate with each other in a standardized way. So AHB2APB bridge is designed, implemented using VERILOG tool and tested using Verilog testbench and is reported in this paper. A synthesizable RTL code of a complex interface
bridge between AHB and APB is developed and known as AHB2APB Bridge. The simulated AHB2APB Bridge results are promising and can be further tested for its verstality by writing a verification program using UVM in future.
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGEVLSICS Design
The Advanced Microcontroller Bus Architecture (AMBA) is an open System-on-Chip bus protocol for highperformance
buses to communicate with low-power devices. In the AMBA Advanced High Performance bus (AHB) a system bus is used to connect a processor, a DSP, and high-performance memory controllers where as the AMBA Advanced Peripheral Bus (APB) is used to connect (Universal Asynchronous Receiver Transmitter) UART. It also contains a Bridge, which connects the AHB and APB buses. Bridges are standard bus-to-bus interfaces that allow IPs connected to different buses to communicate with each other in a standardized way. So AHB2APB bridge is designed, implemented using VERILOG tool and tested using Verilog testbench and is reported in this paper. A synthesizable RTL code of a complex interface
bridge between AHB and APB is developed and known as AHB2APB Bridge. The simulated AHB2APB Bridge results are promising and can be further tested for its verstality by writing a verification program using UVM in future.
A comparative study of full adder using static cmos logic styleeSAT Publishing House
This document compares different 1-bit CMOS full adder circuit designs using static CMOS logic style. It analyzes circuits like conventional CMOS, complementary pass transistor logic, double pass transistor logic, transmission gate, transmission function, new 14T, and GDI full adders. The comparison is based on parameters like number of transistors, delay, power dissipation, and power-delay product. Simulation results at the 180nm technology node show tradeoffs between different designs - no single adder performs best across all metrics. The document concludes that the results help select an appropriate adder for a given application based on priority of delay, power, or area.
Modified digital space vector pulse width modulation realization on low-cost ...IJECEIAES
The realization of power electronic applications on hardware is a challenging task. The digital control circuit strategies are used to overcome the analog control strategies by providing great flexibility with simple equipment and higher switching frequencies. In this manuscript, an area optimized, modified digital space vector (DSV) pulse width modulation is designed and realized on low-cost FPGA. The modified digital space vector pulse width modulation (DSVPWM) uses a phase-locked loop (PLL) to generate clocks using the digital clock manager (DCM). These DCM clocks are used in the DSVPWM module to synchronize the other sub-modules. The voltage generation unit generates the three-phase (3-Ф) voltages and is used in the alpha-beta generation and sector determination unit. The reference active vectors are made by the reference generation unit and used in switching time calculation. The PWM pulses are generated using switching time generation, and lastly, the dead time occurrence unit generates the final SVPWM gate pulses. The modified DSVPWM is synthesized and implemented on Spartan3E FPGA. The modified DSVPWM utilizes 17% slices, works at 102.45 MHz, and consumes 0.070 W total power. The simulation results and the resource utilization of modified DSVPWM are represented in detail. The modified DSVPWM is compared with existing PWM approaches on different Spartan-series FPGAs with better chip area improvement.
Design of high speed adders for efficient digital design blocksBharath Chary
This document discusses the design of high-speed adders for efficient digital design blocks. It compares parallel-prefix adders like Kogge-Stone, Brent-Kung, Sklansky, and Kogge-Stone Ling adders. The Kogge-Stone Ling adder is found to perform most efficiently. Different tree adder structures are designed using CMOS logic and transmission gate logic and compared in terms of area, delay, and power consumption. Ling adders are analyzed, and it is shown that they reduce dependency on previous bit additions compared to other adders.
DESIGN AND IMPLEMENTATION OF LOW POWER ALU USING CLOCK GATING AND CARRY SELEC...IAEME Publication
CPUs in general-purpose personal computers, such as desktops and laptops, dissipate significantly more power in the order of few watts because of their higher complexity and speed. ALU is a fundamental building block of CPU. It does all process related to arithmetic and logic operations. As the operations become more complex, the ALU become more complex, more expensive, takes up more space in the CPU and contributes more power dissipation within the CPU. Hence power consumption of ALU is a major issue in the designing of CPU.
Highly -increasing requirement for mobile and several electronic devices want the use of VLSI circuits which are highly power efficient. The most primitive arithmetic operation in processors is addition and the adder is the most highly used arithmetic component of the processor. Carry Select Adder (CSA) is one of the fastest adders and the structure of the CSA shows that there is a possibility for increasing its efficiency by reducing the power dissipation and area in the CSA. This research paper presents power and delay analysis of various adders and proposed a 32-bit CSA that is implemented using variable size of the combination of adders, thus the proposed Carry select Adder (CSA) which has minimum Delay, and less power consumption hence improving the efficiency and speed of the Carry Select Adder.
The document proposes a novel approach for designing high speed carry select adders. It describes replacing conventional dual ripple carry adders in carry select adders with a single ripple carry adder and add-one circuit to reduce area. The proposed design is evaluated through Verilog simulation and synthesis using a 0.18um technology. Results show the proposed carry select adder achieves reduced delay and area compared to regular carry select adders.
DESIGN AND PERFORMANCE ANALYSIS OF BINARY ADDERS_editedShital Badaik
The document describes the design and performance analysis of various binary adders. It discusses the design of ripple carry adders of sizes 4-bit, 8-bit and 16-bit. The designs are implemented using Verilog HDL and simulated using Xilinx ISE simulator. The performance parameters like area and delay are determined and compared for different adder designs including carry look ahead adder, carry select adder, carry skip adder, carry increment adder and carry save adder.
The document discusses various digital circuit techniques for arithmetic operations like addition and multiplication. It covers binary adders, full adders, ripple carry adders, carry lookahead adders, carry save adders, array multipliers, Wallace tree multipliers, and other basic datapath elements. The document also discusses design considerations like transistor count, critical path, performance, power, and layout strategies.
Implementation of Low Power and Area Efficient Carry Select Adderinventionjournals
International Journal of Engineering and Science Invention (IJESI) is an international journal intended for professionals and researchers in all fields of computer science and electronics. IJESI publishes research articles and reviews within the whole field Engineering Science and Technology, new teaching methods, assessment, validation and the impact of new technologies and it will continue to provide information on the latest trends and developments in this ever-expanding subject. The publications of papers are selected through double peer reviewed to ensure originality, relevance, and readability. The articles published in our journal can be accessed online.
This document proposes a modified carry select adder design to reduce area and power consumption compared to a regular carry select adder. A carry select adder uses two ripple carry adders and a multiplexer to perform addition. The proposed design replaces one of the ripple carry adders with a binary-excess-1 converter to reduce the number of full adders. Simulation results show the modified design reduces area by reducing the gate count and also lowers power consumption compared to a regular carry select adder design. The modified design has applications in arithmetic logic units, high-speed multiplications, and advanced microprocessor designs due to its lower area and power.
This document describes the design and implementation of a 12-bit cyclic analog-to-digital converter (ADC) for use in column-parallel readout of CMOS image sensors. It examines various architectures for the multiplying digital-to-analog converter (MDAC) and comparator components. A single-ended MDAC architecture is chosen to minimize area, and techniques like return-to-zero coding and digital correlated double sampling are used to reduce the impact of noise. Three comparator architectures - static latched, class AB, and dynamic - are explored. The dynamic implementation provides the best power efficiency but has a limited output voltage range.
Design and Verification of Area Efficient Carry Select Adderijsrd.com
Carry Select Adder (CSLA) is one of the fastest adders used in many data-processing processors to perform fast arithmetic functions. From the structure of the CSLA, it is clear that there is scope for reducing the area and power consumption in the CSLA. This work uses a simple and efficient gate-level modification to significantly reduce the area and power of the CSLA. Based on this modification 16, 32 square - root CSLA (SQRT CSLA) architecture have been developed and compared with the regular SQRT CSLA architecture. The proposed design has reduced area and power as compared with the regular SQRT CSLA with only a slight increase in the delay. This work evaluates the performance of the proposed designs in terms of delay, area.
IRJET- Implementation and Analysis of Hybridization in Modified Parallel Adde...IRJET Journal
The document compares different types of adder circuits including carry save adders (CSA), carry skip adders (CSkA), carry increment adders (CIA), and modified carry select adders using D-latches. It analyzes the delay, power consumption, and area of hybrid adders that combine elements of different adders, such as a CSA-CSkA hybrid adder and CSA-CIA hybrid adder. Simulation results using Verilog HDL show that the hybrid adders have lower delay, area, or power consumption compared to basic adder circuits. The most efficient adder depends on the specific metrics considered such as being best for lower or higher bit operations.
implementation and comparision of effective area efficient architecture for CSLAvenkatesh nayakoti
This document presents a modified carry select adder (CSLA) architecture to improve efficiency over a regular CSLA. The modified design replaces one of the ripple carry adders in the CSLA with a binary-excess-1 converter to reduce the number of gates. Simulation results show the modified 16-bit CSLA has fewer gates and offers advantages of lower area, power and complexity compared to a regular CSLA design. The modified architecture provides an efficient alternative for low area and low power VLSI implementations.
International Journal of Engineering Research and Applications (IJERA) is an open access online peer reviewed international journal that publishes research and review articles in the fields of Computer Science, Neural Networks, Electrical Engineering, Software Engineering, Information Technology, Mechanical Engineering, Chemical Engineering, Plastic Engineering, Food Technology, Textile Engineering, Nano Technology & science, Power Electronics, Electronics & Communication Engineering, Computational mathematics, Image processing, Civil Engineering, Structural Engineering, Environmental Engineering, VLSI Testing & Low Power VLSI Design etc.
This project aims to create a prototype for a driverless metro train. An 8051 microcontroller is used to control the motion of a stepper motor that moves the train. An LCD display shows messages and the train's position at stations. Sensors provide input to the microcontroller to stop the train at stations for 3 seconds and travel between stations in 6 seconds. LEDs indicate the train's direction and a buzzer sounds when approaching a station. The basic circuit includes a power supply, microcontroller, display unit, and stepper motor. This project could help reduce costs and increase safety compared to traditional trains.
This document describes the design and verification of an 8x8 Vedic multiplier using a 90nm CMOS process. It presents the design methodology, including the use of Vedic multiplication algorithms to reduce computational steps compared to traditional methods. Transistor-level schematics for 2x2 and 4x4 multiplier modules are designed in Cadence using a 90nm library. The 4x4 module uses ripple carry adders to sum partial products in parallel. Simulation results verify the transistor-level designs match an ideal multiplier designed in Verilog, demonstrating an efficient digital multiplier based on Vedic mathematics.
The document discusses the implementation of 8-bit conditional sum adders and parallel prefix adders for fast addition. It introduces conditional sum adders that generate output sums and carries assuming an input carry of 0 or 1. Parallel prefix adders perform addition in parallel using different tree structures, including Brent-Kung, Kogge-Stone, and Ladner-Fischer adders. Circuit diagrams, layouts, and simulated waveforms are presented for 8-bit implementations of conditional sum adders and each type of parallel prefix adder. Potential areas for expansion include higher radix adders.
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGEVLSICS Design
The Advanced Microcontroller Bus Architecture (AMBA) is an open System-on-Chip bus protocol for highperformance buses to communicate with low-power devices. In the AMBA Advanced High Performance bus (AHB) a system bus is used to connect a processor, a DSP, and high-performance memory controllers where as the AMBA Advanced Peripheral Bus (APB) is used to connect (Universal Asynchronous Receiver Transmitter) UART. It also contains a Bridge, which connects the AHB and APB buses. Bridges are standard bus-to-bus interfaces that allow IPs connected to different buses to communicate with each other in a standardized way. So AHB2APB bridge is designed, implemented using VERILOG tool and tested using Verilog testbench and is reported in this paper. A synthesizable RTL code of a complex interface
bridge between AHB and APB is developed and known as AHB2APB Bridge. The simulated AHB2APB Bridge results are promising and can be further tested for its verstality by writing a verification program using UVM in future.
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGEVLSICS Design
The Advanced Microcontroller Bus Architecture (AMBA) is an open System-on-Chip bus protocol for highperformance
buses to communicate with low-power devices. In the AMBA Advanced High Performance bus (AHB) a system bus is used to connect a processor, a DSP, and high-performance memory controllers where as the AMBA Advanced Peripheral Bus (APB) is used to connect (Universal Asynchronous Receiver Transmitter) UART. It also contains a Bridge, which connects the AHB and APB buses. Bridges are standard bus-to-bus interfaces that allow IPs connected to different buses to communicate with each other in a standardized way. So AHB2APB bridge is designed, implemented using VERILOG tool and tested using Verilog testbench and is reported in this paper. A synthesizable RTL code of a complex interface
bridge between AHB and APB is developed and known as AHB2APB Bridge. The simulated AHB2APB Bridge results are promising and can be further tested for its verstality by writing a verification program using UVM in future.
The AMBA specification defines standards for on-chip communication in microcontrollers. It includes three bus standards: Advanced High-performance Bus (AHB) for high bandwidth communication, Advanced System Bus (ASB) and Advanced Peripheral Bus (APB) for lower bandwidth peripherals. The objectives are to facilitate right-first-time development, be technology independent, encourage modular design, and minimize infrastructure. A typical system has the CPU and memory on the high-performance AHB, with peripherals on the lower-bandwidth APB, connected via a bridge.
Design and Analysis of Xilinx Verified AMBA Bridge for SoC Systemsidescitation
ARM introduced the Advanced Microcontroller Bus
Architecture (AMBA) 4.0 and its specifications define five
buses/interfaces: Advanced eXtensible Interface Bus (AXI),
Advanced High-performance Bus (AHB), Advanced System Bus
(ASB), Advanced Peripheral Bus (APB) and Advanced Trace
Bus (ATB). That means more and more existing Intellectual
Property (IP) must be able to communicate with AMBA4.0
bus. This paper presents an IP core design of APB Bridge, to
provide interface between AXI-Lite bus and APB bus operating
at different frequencies. The maximum operating frequency
of the module is 168.464MHz. Test cases are run to perform
multiple read and write operations. Synthesis and Simulation
is done using Xilinx ISE and Modelsim.
IRJET - Analysis of Different Arbitration Algorithms for Amba Ahb Bus Protoco...IRJET Journal
This document analyzes and compares different arbitration algorithms for the Advanced Microcontroller Bus Architecture (AMBA) Advanced High-Performance Bus (AHB) protocol used in System on Chip (SoC) designs. It describes the AMBA specification and AHB bus. It then examines three arbitration algorithms - static fixed priority, round robin, and modified round robin. Simulation results show the modified round robin algorithm provides the fastest response time to bus requests while using more logic than the other methods. Overall, the modified round robin algorithm is concluded to be the most efficient approach for handling multiple concurrent bus requests in terms of speed and performance.
The document discusses various on-chip bus architectures used for system-on-chip designs. It describes buses such as AMBA, CoreConnect, STBus, Wishbone and others. For each bus, it provides details on the bus hierarchy, protocols, and how they enable connection and data transfer between functional blocks in a system-on-chip.
A Proficient Recognition Method for ML-AHB Bus MatrixIRJET Journal
The document describes a proposed method for a flexible arbiter for an ML-AHB bus matrix that can support three priority policies: fixed priority, round robin, and dynamic priority. The proposed self-annoyed arbiter can select the appropriate arbitration method based on priority level notifications and transfer length requests from masters to maximize overall performance. It reduces area overhead and increases throughput compared to other arbitration schemes.
This document summarizes the approval of a seminar titled "Implementation of Advance High performance Bus using verilog" presented by Nirav Desai for the degree of Master of Technology. It lists the examiners and is signed by the supervisor, head of department, and includes the date and place.
The next sections include a declaration signed by Nirav Desai about original work and adherence to academic honesty. An acknowledgment section thanks the seminar guide and head of department for their support and guidance.
The abstract provides a high-level overview, stating that the purpose is to propose a scheme to implement an AMBA bus protocol specification using Verilog. It will cover bus basics, AMBA bus
The document describes the design and implementation of a CAN bus controller to enable reliable communication between nodes using the CAN protocol. It discusses the motivation for using CAN in embedded systems and provides details on the controller's architecture, which includes modules for CAN, APB interface, and interrupts. It also covers the controller's design flow from learning CAN and APB specifications to simulation, synthesis, and layout. The controller was synthesized at 100MHz with low area and power.
International Journal of Engineering Research and Applications (IJERA) is an open access online peer reviewed international journal that publishes research and review articles in the fields of Computer Science, Neural Networks, Electrical Engineering, Software Engineering, Information Technology, Mechanical Engineering, Chemical Engineering, Plastic Engineering, Food Technology, Textile Engineering, Nano Technology & science, Power Electronics, Electronics & Communication Engineering, Computational mathematics, Image processing, Civil Engineering, Structural Engineering, Environmental Engineering, VLSI Testing & Low Power VLSI Design etc.
Design and verification environment for amba axi protocol for soc integrationeSAT Publishing House
IJRET : International Journal of Research in Engineering and Technology is an international peer reviewed, online journal published by eSAT Publishing House for the enhancement of research in various disciplines of Engineering and Technology. The aim and scope of the journal is to provide an academic medium and an important reference for the advancement and dissemination of research results that support high-level learning, teaching and research in the fields of Engineering and Technology. We bring together Scientists, Academician, Field Engineers, Scholars and Students of related fields of Engineering and Technology.
This document describes a proposed Direct Memory Access controller (DMAC) architecture that is compliant with the Advanced Microcontroller Bus Architecture (AMBA) specification. The DMAC uses AMBA High-Performance Bus (AHB) and Advanced Peripheral Bus (APB) standards. It contains an AHB slave, APB master, and APB master module to allow parallel operations on the AHB and APB buses. The DMAC supports multi-channel operations, channel chaining, and uses an arbitration mechanism to prioritize channel access. It utilizes dual clock domains with an asynchronous FIFO and pulse synchronization for communications between domains.
Design and FPGA Implementation of AMBA APB Bridge with Clock Skew Minimizatio...IOSRJVSP
The Advanced Microcontroller Bus Architecture (AMBA) is used as the on-chip bus in system-onchip (SoC) designs. APB is low bandwidth and low performance bus used to affix the peripherals like UART, Keypad, Timer and other segment equipment’s to the bus architecture. The aim of this paper is to design and implement AMBA APB (Advanced Microcontroller Bus Architecture - Advanced Peripheral Bus) Bridge with efficient deployment of system resources. Clock is a major concern in designing of any digital sequential system. Clock skew is introduced when the difference is generated between the arrival times of clock signal. One of the approaches to minimize clock skew is ripple counter. The three bit down ripple counter approach used. APB Bridge with clock skew minimization technique is implemented in the paper using Verilog HDL. For the simulation purpose, Vivado Design Suite ISim has been used. For the synthesization purpose and design utilization summary Vivado Integrated Design Environment (IDE)
This document summarizes an article from the International Journal of Electronics and Communication Engineering & Technology that proposes a design for an efficient AXI2.0 to APB bridge. It begins with introductions to the AXI2.0 and APB protocols. It then describes the proposed bridge design, which uses asynchronous FIFOs to connect the different clock domains and resolve protocol mismatches. The design is implemented in VHDL and synthesized on a Xilinx Virtex 4 FPGA. Simulation results and the synthesis report are presented, showing the bridge utilizes a small amount of device resources.
Area Efficient VHDL implementation of AHB arbiter IPIRJET Journal
This document presents the design and implementation of an area efficient AHB arbiter IP core in VHDL. The proposed arbiter can handle bus requests from 16 masters and grant access to the bus using a round-robin priority scheme. It was synthesized using Xilinx tools and achieved a maximum frequency of 319.519MHz while utilizing 1273 slices, representing a 5.5% improvement in area over previous designs. The arbiter supports features required by the AMBA specification such as split transactions and error handling. Simulation results confirmed the correct arbitration behavior and priority shifting between multiple concurrent requests.
This document provides an overview of interfacing and input/output in embedded systems. It discusses buses, protocols, and the ISA bus. The key points covered are:
- Buses, wires, and ports are used for communication between processors, memory, and I/O devices. Protocols define rules for data transfer.
- Common I/O devices in embedded systems include analog/digital converters, displays, antennas, cameras, and touchscreens.
- Interfacing involves addressing devices, bus arbitration when multiple devices share a bus, and protocols for read/write operations.
- The ISA bus is described as an example, including its signals, memory/I/O cycles, and hand
SMART MULTICROSSBAR ROUTER DESIGN IN NOCVLSICS Design
This paper gives the innovative idea of designing a router using multicrossbar switch in Network on
Chip(NoC) . In Network-on-Chip architectures the input buffer can consume a large portion of the total
power. Eliminating all input buffer would result in increased power consumption at high load, while
reducing the size of input buffer degrades the performance. In this paper we have proposed a muticrossbar
router design using elastic buffer by combining the advantage of both buffered and buffer less network. In
the proposed design Power Delay Product is reduced by around 37 .91% as compared to baseline router
SMART MULTICROSSBAR ROUTER DESIGN IN NOC VLSICS Design
This paper gives the innovative idea of designing a router using multicrossbar switch in Network on Chip(NoC) . In Network-on-Chip architectures the input buffer can consume a large portion of the total power. Eliminating all input buffer would result in increased power consumption at high load, while reducing the size of input buffer degrades the performance. In this paper we have proposed a muticrossbar router design using elastic buffer by combining the advantage of both buffered and buffer less network. In the proposed design Power Delay Product is reduced by around 37 .91% as compared to baseline router.
This document provides an overview of system on chip (SoC) interconnect architectures and standard bus protocols. It discusses key considerations for choosing an interconnect architecture such as bandwidth, latency, and clock domains. Common SoC bus standards including AMBA, CoreConnect, and Wishbone are described along with their bus architectures and components. The document also provides details on specific buses within standards, such as AMBA's AHB, ASB, and APB buses and CoreConnect's PLB, OPB, and DCR buses.
This document summarizes a research paper that proposes a synthesizable checker for the AMBA AXI protocol. The AXI protocol is commonly used for on-chip communication in system-on-chip (SoC) designs. The proposed checker contains 44 rules to verify AXI protocol compliance and was implemented using Verilog. Simulation results showed the checker design requires 70.7K gate counts and has a critical path of 4.13 ns, allowing it to operate at 242 MHz. The checker is intended to improve SoC integration by verifying correct protocol usage and helping debug communication issues.
Similar to Design and Implementation of AMBA ASB apb bridge (20)
2. Proceedings of 2013 International Conference on Fuzzy Theory and Its Application
National Taiwan University of Science and Technology, Taipei, Taiwan, Dec. 6-8, 2013
III. AMBA APB
The Advanced Peripheral Bus (APB) is part of the
AMBA hierarchy of buses and is optimized for minimal
power consumption and reduced interface complexity.
The AMBA APB appears as a local secondary bus that is
encapsulated as a single ASB slave device. APB pro-
vides a low-power extension to the system bus which
builds on ASB signals directly.
The APB Bridge appears as a slave module which han-
dles the bus handshake and control signal retiming on
behalf of the local peripheral bus. By defining the APB
interface from the starting point of the system bus, the
benefits of the system diagnostics and test methodology
can be exploited. The AMBA APB should be used to
interface to any peripherals which are low bandwidth and
do not require the high performance of a pipelined bus
interface.
IV. IMPLEMENTATION
The implementation technique involves modeling the
following:
1) Arbiter
2) Decoder
3) APB Bridge
4) Reset controller
5) Remap and pause controller
A. Arbiter
The AMBA bus specification is a multi-master bus
standard. As a result, a bus arbiter is needed to ensure
that only one bus master has access to the bus at any
particular point in time. Each bus master can request the
bus, the arbiter decides which has the highest priority and
issues a grant signal accordingly. Every system must
have a default bus master which is granted use of the bus
during reset, or when no other bus master requires the
bus. The ASB arbitration is controlled by the AREQ,
AGNT, BLOK and BWAIT signals. When an ASB
master requires use of the bus, it sets its AREQ output
line HIGH. This is sampled by the arbiter, on the falling
edge of BCLK, and the AGNT outputs change according
to the arbitration priority scheme used by the system. The
BLOK and BWAIT signals are used to extend the
granted period to allow masters to finish transfers before
bus master handover begins. If BLOK is set HIGH by the
current master, and a higher priority master requests the
bus, handover will not start until BLOK is set LOW,
showing that the locked transfer has finished.
The following arbitration priorities (from highest to
lowest) are implemented in the default system: Test in-
terface controller (highest), Bus master 1, Bus master 2,
ARM processor (lowest).
B. Decoder
The decoder performs three functions, it generates the
slave select signals (DSELx) for each of the bus slaves,
indicating that a read or write access to that slave is
Fig. 2. Decoder memory map [2]
Fig. 3. FSM Without decode cycle [2]
Fig. 4. FSM With decode cycle [2]
required, it generates the slave response signals
(BWAIT, BLAST and BERROR) during address-only
transfers, when no slave is selected, it can act as a simple
protection unit which prevents attempts to access a pro-
tected area of the memory map shown in Figure 2.
The decoder can be implemented in two ways with and
without decode cycles as shown in Figure 3 and Figure 4
respectively.
C. APB Bridge
The APB Bridge provides an interface between the
ASB and the APB. It continues the pipelining of the ASB
by inserting wait cycles on the ASB only when they are
needed. It inserts them for burst transfers or read trans-
fers when the ASB must wait for the APB. The imple-
mentation of this block contains: a state machine, which
is independent of the device memory map, ASB address,
and data bus latching, combinatorial address decoding
logic to produce the peripheral select PSELx
Proceedings of 2013 International Conference on Fuzzy Theory and Its Application
National Taiwan University of Science and Technology, Taipei, Taiwan, Dec. 6-8, 2013
235
3. Proceedings of 2013 International Conference on Fuzzy Theory and Its Application
National Taiwan University of Science and Technology, Taipei, Taiwan, Dec. 6-8, 2013
Fig. 5. Peripheral memory map [2]
Fig. 6. APB Bridge state machine [2]
signals. Figure 5 shows the peripheral memory map of
the peripheral bridge. The finite state machine which
controls the working of the peripheral bridge is shown in
Figure 6.
D. Reset Controller
The AMBA specification defines a single reset signal
BnRES which indicates the current reset status of the
system. Assertion (the falling edge) of BnRES is asyn-
chronous to BCLK. De-assertion (the rising edge) of
BnRES is synchronous to the falling edge of BCLK.
BnRES is only asserted during a Power-On Reset con-
dition, caused by the assertion of the POReset signal. The
POReset input is an asynchronous input, and hence a
synchronizing d-type is required to eliminate propaga-
tion of metastable values.
The state machine which controls the working of reset
controller is shown in Figure 7.
E. Remap and Pause Controller
The remap and pause controller has three modes.
Reset status: This enables software to determine whether
the last reset was a Power On Reset (POR) or a soft reset.
Fig. 7. Reset controller state machine [2]
Remap memory: On reset the internal RAM is mapped
out and the external memory is mapped into location
0x00000000 which is the boot location for the ARM
processor. The reset memory map is cancelled by writing
to a register in this peripheral.
Pause mode: The microcontroller only supports one
simple power saving mode called Pause. This halts all
bus activity (but not the system clock) and waits for an
interrupt signal from the interrupt controller before res-
tarting the system.
The Remap output register is used to hold the value of
Remap, which is used to determine the memory map that
is used by the system. It is set LOW on reset, and is set
HIGH when the Remap address is written to with any
value. Once set HIGH, it can only be set LOW by a
system reset.
V. SPECIFICATIONS
The design of AMBA ASB APB Bridge has the fol-
lowing specifications:
• 50 MHz bus clock
• 32 bit address bus
• 32 bit data bus
• Decode and without decode cycles
• Arbitration: Fixed priority [1:4]
• No. of bus masters: 4
• Peripheral: Remap and Pause
VI. SIMULATION RESULTS
Xilinx 13.2 is used for modeling of AMBA ASB APB
Bridge and ISim is used for simulation results. Figure 8
shows the results with the priority based arbitration
scheme and the read and then the write operations
performed on the remap and pause peripheral. PSELx
indicates that the peripheral is chosen and when
BWRITE is low it indicates read operation and when
high a write operation is performed on the peripheral.
The status of remap and pause peripheral is shown in
Figure 9 when no interrupts are coming from the ARM
processor the system stays in a low power mode, when
interrupted the pause signal goes high. The remap signal
indicates if the system has recovered from a power on
reset state and when high divides the internal memory
into internal and external memory.
The RTL schematic is shown in Figure 12. The design
is implemented on SPARTAN 3E and the results are
analyzed using ChipScope Pro which is shown in Figure
10 and 11. The synthesis reports are shown in Table 1
and Table 2.
Proceedings of 2013 International Conference on Fuzzy Theory and Its Application
National Taiwan University of Science and Technology, Taipei, Taiwan, Dec. 6-8, 2013
236
4. Proceedings of 2013 International Conference on Fuzzy Theory and Its Application
National Taiwan University of Science and Technology, Taipei, Taiwan, Dec. 6-8, 2013
Fig. 8. Simulation results of ASB APB Bridge
Fig. 9. Remap and Pause outputs
Fig. 10. Chipscope Pro analysis
Fig. 11. ChipScope Pro analysis with active high power on reset
Table 1. Area report
Instance Cells Cell Area Net Area Wireload
Bridge 234 2141 0 <none> (D)
Table 2. Power report
Instance Cells Leakage
Power (nW)
Dynamic
Power
(nW)
Total
Power
(nW)
Bridge 234 5591.030 32217.318 37808.
347
Fig. 12. RTL Schematic
ARBITER
GRANTS
ARBITER
REQUESTS
READ
WRITE
PERIPHERAL
SELECTED
REMAP
ADDRES
PAUSE
ADDRESS
GRANT
REQUESTS
DEFAULT
MASTER
POWER
ON RESET
RESET
CONTROLLER
APB BRIDGE
DECODER
REMAP AND
PAUSE
ARBITER
Proceedings of 2013 International Conference on Fuzzy Theory and Its Application
National Taiwan University of Science and Technology, Taipei, Taiwan, Dec. 6-8, 2013
237