SlideShare a Scribd company logo
Kommirisetti Bheema Raju. Int. Journal of Engineering Research and Application www.ijera.com
ISSN: 2248-9622, Vol. 7, Issue 1, (Part -1) January 2017, pp.87-90
www.ijera.com 87 | P a g e
Design And Verification of AMBA APB Protocol
1
Kommirisetti Bheema Raju,2
Bala Krishna Konda, M.Tech,
1
M.Tech Digital Electronics & Communication Systems(Decs) Student In Elure College Of Engineering And
Technology, Affiliated To Jntuk, Eluru, Andhra Pradesh.
2
Asistant Professor In The Department Of Ece In Eluru College Of Engineering And Technology, Affiliated To
Jntuk, Eluru, Andhra Pradesh
ABSTRACT:
Advanced microcontroller bus architecture (AMBA) is a well established open specification for the proper
management of functional blocks comprising system-on-chips (SOCs). A Memory Controller is designed to
cater to this problem. This design presents an intellectual property (IP) for inter-Advanced peripheral bus (APB)
protocol. The Memory Controller is a digital circuit which manages the flow of data going to and from the main
memory. It can be a separate chip or can be integrated into the system chipset. This paper revolves around
building an Advanced Microcontroller Bus Architecture (AMBA) compliant Memory Controller as an
Advanced High-performance Bus (AHB) slave. The work involved is of APB Protocol and its slave
Verification. The whole design is captured using VHDL, simulated with ModelSim and configured to a FPGA
target device belonging to the Virtex4 family using Xilinx.
I. INTRODUCTION
In the realm of processing, these elements
perform different tasks in order to realize an overall
solution. Consider a set-top box for television (TV)
sets as an example [1]. A set-top box must generate
inputs for a particular television channel from the
received digital satellite signal. The entire process
incorporates several phases. The first is to split the
incoming digital signal into its component video and
audio data streams. The next phase is to convert the
video data stream into its actual television signal.
Also, the audio data stream has to be changed into
audio signal for the TV set. Besides, an additional job
to look after will be to handle the user input for
changing the channel when the remote control will be
pressed. All these operations have to be completed
not only simultaneously but within a certain time
frame. The cost of not accomplishing these within the
stipulated time frame or deadline will be manifested
in the form of blank screen or audible noises. This is
obviously unacceptable and hence, it is necessary to
always deliver the data within real-time deadlines.
The needed computational elements here will be
either general-purpose or special-purpose processors
(such as signal processors). Nowadays, multitudes of
these devices are integrated in the form of an SOC. A
processor needs to interact with other processors,
memories or input/output (I/O) devices to complete a
task. Currently, bus systems are used to interconnect
the intellectual property (IP) blocks.
Many SoCs comprise Application Specific
Integrated Circuits (ASICs) that are offered by
several companies. The Advanced RISC Machines
(ARM) microprocessor is very popular for SoC
solutions. Today it is fair to say that the ARM
Embedded Technology is universally recognized as
an industry standard for ASIC design for portable
applications. Creating and applying powerful,
portable and at the same time re-usable intellectual
Property (IP), capable of enhancing an ARM core is
therefore of utmost importance to any ASIC design
centre.
Description Of Amba Ahb Bus.
An AMBA AHB design may include one or
more bus masters, typically a system would contain
at least the processor and the test interface . However,
it would also be common for a Direct Memory
Access (DMA) or Digital Signal Processor (DSP) to
be included as bus masters. The external memory
interface, the Advanced Peripheral Bus (APB) Bridge
and any internal memory are the most common AHB
slaves. Any other peripheral in the system could also
be included as an AHB slave. However, low-
bandwidth peripherals typically reside on the APB.
Fig. 1 A typical AMBA architecture.
Before an AMBA AHB transfer can
commence the bus master must be granted access to
the bus. This process is started by the master
RESEARCH ARTICLE OPEN ACCESS
Kommirisetti Bheema Raju. Int. Journal of Engineering Research and Application www.ijera.com
ISSN: 2248-9622, Vol. 7, Issue 1, (Part -1) January 2017, pp.87-90
www.ijera.com 88 | P a g e
asserting a request signal to the arbiter. Then the
arbiter indicates when the master will be granted use
of the bus. A granted bus master starts an AMBA
AHB transfer by driving the address and control
signals. These signals provide information on the
address, direction and width of the transfer, as well as
an indication if the transfer forms part of a burst. Two
different forms of burst transfers are allowed:
incrementing bursts, which do not wrap at address
boundaries; and wrapping bursts, which wrap at
particular address boundaries. A write data bus is
used to move data from the master to a slave, while a
read data bus is used to move data from a slave to the
master.
Fig.2 An AMBA AHB design with three masters and
four slaves.
Apb Block Diagram:
The APB is the member of the AMBA 3
protocol family which implements a low cost
interface which minimizes the power consumption
and reduces the interface complexity. Since APB has
unpipelined protocol. Therefore, it interfaces to the
low bandwidth peripherals that do not demand the
high performance of the pipelined bus interface. All
the signal transitions are associated with the rising
edge of the clock which makes it simple to integrate
APB peripherals into any design flow. APB can
interface with the AMBA AHB-Lite and AMBA
Advanced Extensible Interface (AXI). APB can also
be used to access the programmable control registers
of the peripheral devices.
Fig3: Interfacing of APB Master & Slave.
Table 1. List of APB signals
Idle is the normal state of the APB. When a Transfer
is necessary the bus relocates into the Setup state,
where the suitable select signal, Pselx, is asserted.
Fig3: State diagram.
The bus only waits in the SETUP state for
one clock cycle and always moves to the ACCESS
state on the next rising edge of the clock. ACCESS
will enable signal, PENABLE, is asserted in the
ACCESS state. The write, write data signals, select,
and address must remain stable during the transition
from the SETUP to ACCESS state. ACCESS state is
controls when to exit by the PREADY signal from
the slave.
These are the conditions one is if PREADY
is held LOW by the slave then the peripheral bus
remains in the ACCESS state another is PREADY is
driven HIGH by the slave then the ACCESS state is
exited and the bus returns to the IDLE state if no
more transfers are required after that it will start the
same cycle.
Kommirisetti Bheema Raju. Int. Journal of Engineering Research and Application www.ijera.com
ISSN: 2248-9622, Vol. 7, Issue 1, (Part -1) January 2017, pp.87-90
www.ijera.com 89 | P a g e
Fig6: RTL Schematic diagram of APB Master Slave
Burst.
Write cycle
At T1, a write transfer starts with PADDR,
PWDATA, PWRITE, and PSEL, being registered at
the rising edge of PCLK. It is called the SETUP
cycle. At the next rising edge of the clock T2 it is
called ACCESS cycle, PENABLE, and PREADY,
are registered. When asserted, PENABLE indicates
starting of Access phase of the transfer. When
asserted, PREADY indicates that the slave can
complete the transfer at the next rising edge of
PCLK. The PADDR, PWDATA, and control signals
all remain valid until the transfer completes at T3, the
end of the Access phase. The PENABLE, is disabled
at the end of the transfer. The select signal PSEL is
also disabled unless the transfer is to be followed
immediately by another transfer to the same
peripheral.
Fig 4: APB Write cycle.
Read cycle
During read operation the PENABLE,
PSEL, PADDR PWRITE, signals are asserted at the
clock edge T1 (SETUP cycle). At the clock edge T2,
(ACCESS cycle), the PENABLE, PREADY are
asserted and PRDATA is also read during this phase.
The slave must provide the data before the end of the
read transfer.
Fig 5: APB Read Cycle.
Simulation Results
Fig6: simulation result for amba apb master slave
burst.
II. CONCLUSION
This paper gives an outline of the AMBA
bus architecture and explains the APB bus in detail.
The design has taken care of balance between area
overhead and speed. The read write operation is
accomplished with zero wait states from the external
ROM and the write operation with zero states to the
external RAM. The APB bus is designed using the
Verilog HDL according to the specification and is
verified using Xilinx.
REFERENCES
[1]. “Design and Implementation of High-
Performance Master/Slave Memory Controller
with Microcontroller Bus Architecture”
Shashisekhar Ramagundam1, Sunil R.Das1, 2,
Scott Morton1, Satyendra N. Biswas4, Voicu
Groza2, Mansour H. Assaf3, and Emil M.
Petriu2 1Department of Computer Science,
College of Arts and Sciences, Troy University,
Montgomery, AL 36103, USA School of
Information Technology and Engineering,
Faculty of Engineering, University of Ottawa,
Ottawa, ON K1N 6N5, Canada 3School of
Engineering and Physics, University of the
South Pacific, Suva 19128, Fiji 4School of
Engineering and Technology, Kaziranga
University, Jorhat 785006, India.
[2]. Y. Hu and B. Yang, “Building an AMBA
AHB compliant memory controller”,
Proceedings of the Third International
Conference on Measuring Technology and
Mechatronics Automation, Vol. 01, 2011, pp.
658–661.
[3]. S. Rao and A. S. Phadke, “Implementation of
AMBA compliant memory controller on a
FPGA”, International Journal of Emerging
Trends in Electrical and Electronics, Vol. 2,
April 2013, pp. 20–23.
[4]. AMBA Specification (Rev 2.0), ARM Inc.,
1999.
[5]. AHB Example – AMBA System, Technical
Reference Manual, ARM Inc., 1999.
[6]. Sudeep Pasricha, “On-Chip Communication
Architecture Synthesis for Multi-Processor
Kommirisetti Bheema Raju. Int. Journal of Engineering Research and Application www.ijera.com
ISSN: 2248-9622, Vol. 7, Issue 1, (Part -1) January 2017, pp.87-90
www.ijera.com 90 | P a g e
Systems-on-Chip”, University of California,
2008.
[7]. “PrimeCell Synchronous Static Memory
Controller”, Technical Reference Manual,
ARM Inc, 2001-2005.
[8]. URL:http://wwwmicro.deis.unibo.it/~magagni
/amba99.pdf
[9]. ARM, “AMBA Specification Overview”,
available at http://www.arm.com/.
[10]. ARM, “AMBA Specification (Rev 2.0)”,
available at http://www.arm.com.
[11]. URL:http://www.differencebetween.net/techno
logy/difference-between-ahb-and-apb
[12]. Samir Palnitkar, “Verilog HDL: A guide to
Digital Design and Synthesis (2nd Edition),
Pearson, 2008.
[13]. URL:http://www.testbench.com.
Bala Krishna Konda is currently working
as an Asst. Prof. in the Department of ECE
in Eluru College of Engineering and
Technology. He completed his B.Tech in
ECE from Sri Vasavi Engineering College,
Tadepalligudem, Andhra Pradesh and
M.Tech from Sri Vasavi Engineering
College, Tadepalligudem, Andhra Pradesh.
He is interested in the field of VLSI.
Kommirisetti Bheema Raju has obtained
his Graduation at Akula Gopayya College
of Engineering, Tadepalligudem, Andhara
Pradesh and currently pursuing his Post
Graduation From Eluru College of
Engineering and Technology, Eluru,
Andhra Pradesh.

More Related Content

What's hot

Apb
ApbApb
Coverage and Introduction to UVM
Coverage and Introduction to UVMCoverage and Introduction to UVM
Coverage and Introduction to UVM
Dr. Shivananda Koteshwar
 
Communication protocols - Embedded Systems
Communication protocols - Embedded SystemsCommunication protocols - Embedded Systems
Communication protocols - Embedded Systems
Emertxe Information Technologies Pvt Ltd
 
AMBA AHB 5
AMBA AHB 5AMBA AHB 5
AMBA AHB 5
SUNODH GARLAPATI
 
Verilog
VerilogVerilog
Verilog
Mohamed Rayan
 
Jtag presentation
Jtag presentationJtag presentation
Jtag presentation
klinetik
 
Introduction about APB Protocol
Introduction about APB ProtocolIntroduction about APB Protocol
Introduction about APB Protocol
Pushpa Yakkala
 
Pci express technology 3.0
Pci express technology 3.0Pci express technology 3.0
Pci express technology 3.0
Biddika Manjusree
 
Uart
UartUart
Pcie basic
Pcie basicPcie basic
Pcie basic
Saifuddin Kaijar
 
SPI Bus Protocol
SPI Bus ProtocolSPI Bus Protocol
SPI Bus Protocol
Sudhanshu Janwadkar
 
Creating Your Own PCI Express System Using FPGAs: Embedded World 2010
Creating Your Own PCI Express System Using FPGAs: Embedded World 2010Creating Your Own PCI Express System Using FPGAs: Embedded World 2010
Creating Your Own PCI Express System Using FPGAs: Embedded World 2010
Altera Corporation
 
PCIe
PCIePCIe
UVM Methodology Tutorial
UVM Methodology TutorialUVM Methodology Tutorial
UVM Methodology Tutorial
Arrow Devices
 
AMBA_APB_pst
AMBA_APB_pstAMBA_APB_pst
AMBA_APB_pst
Bynaboina Krishna
 
axi protocol
axi protocolaxi protocol
axi protocol
Azad Mishra
 
Amba bus
Amba busAmba bus
Amba bus
rohitlinux
 
Session 7 code_functional_coverage
Session 7 code_functional_coverageSession 7 code_functional_coverage
Session 7 code_functional_coverage
Nirav Desai
 
SOC Verification using SystemVerilog
SOC Verification using SystemVerilog SOC Verification using SystemVerilog
SOC Verification using SystemVerilog
Ramdas Mozhikunnath
 
UART
UARTUART

What's hot (20)

Apb
ApbApb
Apb
 
Coverage and Introduction to UVM
Coverage and Introduction to UVMCoverage and Introduction to UVM
Coverage and Introduction to UVM
 
Communication protocols - Embedded Systems
Communication protocols - Embedded SystemsCommunication protocols - Embedded Systems
Communication protocols - Embedded Systems
 
AMBA AHB 5
AMBA AHB 5AMBA AHB 5
AMBA AHB 5
 
Verilog
VerilogVerilog
Verilog
 
Jtag presentation
Jtag presentationJtag presentation
Jtag presentation
 
Introduction about APB Protocol
Introduction about APB ProtocolIntroduction about APB Protocol
Introduction about APB Protocol
 
Pci express technology 3.0
Pci express technology 3.0Pci express technology 3.0
Pci express technology 3.0
 
Uart
UartUart
Uart
 
Pcie basic
Pcie basicPcie basic
Pcie basic
 
SPI Bus Protocol
SPI Bus ProtocolSPI Bus Protocol
SPI Bus Protocol
 
Creating Your Own PCI Express System Using FPGAs: Embedded World 2010
Creating Your Own PCI Express System Using FPGAs: Embedded World 2010Creating Your Own PCI Express System Using FPGAs: Embedded World 2010
Creating Your Own PCI Express System Using FPGAs: Embedded World 2010
 
PCIe
PCIePCIe
PCIe
 
UVM Methodology Tutorial
UVM Methodology TutorialUVM Methodology Tutorial
UVM Methodology Tutorial
 
AMBA_APB_pst
AMBA_APB_pstAMBA_APB_pst
AMBA_APB_pst
 
axi protocol
axi protocolaxi protocol
axi protocol
 
Amba bus
Amba busAmba bus
Amba bus
 
Session 7 code_functional_coverage
Session 7 code_functional_coverageSession 7 code_functional_coverage
Session 7 code_functional_coverage
 
SOC Verification using SystemVerilog
SOC Verification using SystemVerilog SOC Verification using SystemVerilog
SOC Verification using SystemVerilog
 
UART
UARTUART
UART
 

Viewers also liked

Evolving Your Big Data Use Cases from Batch to Real-Time - AWS May 2016 Webi...
Evolving Your Big Data Use Cases from Batch to Real-Time - AWS May 2016  Webi...Evolving Your Big Data Use Cases from Batch to Real-Time - AWS May 2016  Webi...
Evolving Your Big Data Use Cases from Batch to Real-Time - AWS May 2016 Webi...
Amazon Web Services
 
ARSHAD cv with pic
ARSHAD cv with picARSHAD cv with pic
ARSHAD cv with pic
arshad hussain
 
Curriculum Vitae Vincent
Curriculum Vitae VincentCurriculum Vitae Vincent
Curriculum Vitae Vincent
Vincent Kiraini Odiga MKPA
 
Scotland Composite Legal Expenses ATE Proposal Form
Scotland Composite Legal Expenses ATE Proposal Form Scotland Composite Legal Expenses ATE Proposal Form
Scotland Composite Legal Expenses ATE Proposal Form
CompositeLegalExpenses
 
Lion Attack tourist
Lion Attack touristLion Attack tourist
Lion Attack tourist
john reess
 
PIJUSH PAUL- 20-Jan
PIJUSH PAUL- 20-JanPIJUSH PAUL- 20-Jan
PIJUSH PAUL- 20-Jan
Pijush Paul
 
Conferencia gestión de sistemas de seguridad vial en las organizaciones
Conferencia gestión de sistemas de seguridad vial en las organizacionesConferencia gestión de sistemas de seguridad vial en las organizaciones
Conferencia gestión de sistemas de seguridad vial en las organizaciones
Edgar G. Correa Perea MBA -
 
Proyecto
ProyectoProyecto
Proyecto
J97r
 
Comunicación escrita UTS Barinas.
Comunicación escrita UTS Barinas.Comunicación escrita UTS Barinas.
Comunicación escrita UTS Barinas.
Anyi Rodriguez
 
Delph Property Group
Delph Property GroupDelph Property Group
Delph Property Group
Mario Martinis
 
Dropbox гэж юу вэ
Dropbox гэж юу вэDropbox гэж юу вэ
Dropbox гэж юу вэ
Э. Энх-Амгалан
 
Εικαστική Παιδεία ν.29, 2013
Εικαστική Παιδεία ν.29, 2013Εικαστική Παιδεία ν.29, 2013
Etalbond: Aluminum Composite Panels, A2 fire resistant
Etalbond: Aluminum Composite Panels, A2 fire resistantEtalbond: Aluminum Composite Panels, A2 fire resistant
Etalbond: Aluminum Composite Panels, A2 fire resistant
Andreas Laspadakis
 
CATÁLOGO EVENTOS ALM. RET.
CATÁLOGO EVENTOS ALM. RET.CATÁLOGO EVENTOS ALM. RET.
CATÁLOGO EVENTOS ALM. RET.
Paola Lima
 
Fumar y embarazo cartel 5
Fumar y embarazo cartel 5Fumar y embarazo cartel 5
Fumar y embarazo cartel 5
MedworksMX
 
Mohammad_Aadil_Khan_CV
Mohammad_Aadil_Khan_CVMohammad_Aadil_Khan_CV
Mohammad_Aadil_Khan_CV
Aadil khan
 
Salud familiar i
Salud familiar iSalud familiar i
Salud familiar i
KellyJohanaRojasFlorez
 

Viewers also liked (17)

Evolving Your Big Data Use Cases from Batch to Real-Time - AWS May 2016 Webi...
Evolving Your Big Data Use Cases from Batch to Real-Time - AWS May 2016  Webi...Evolving Your Big Data Use Cases from Batch to Real-Time - AWS May 2016  Webi...
Evolving Your Big Data Use Cases from Batch to Real-Time - AWS May 2016 Webi...
 
ARSHAD cv with pic
ARSHAD cv with picARSHAD cv with pic
ARSHAD cv with pic
 
Curriculum Vitae Vincent
Curriculum Vitae VincentCurriculum Vitae Vincent
Curriculum Vitae Vincent
 
Scotland Composite Legal Expenses ATE Proposal Form
Scotland Composite Legal Expenses ATE Proposal Form Scotland Composite Legal Expenses ATE Proposal Form
Scotland Composite Legal Expenses ATE Proposal Form
 
Lion Attack tourist
Lion Attack touristLion Attack tourist
Lion Attack tourist
 
PIJUSH PAUL- 20-Jan
PIJUSH PAUL- 20-JanPIJUSH PAUL- 20-Jan
PIJUSH PAUL- 20-Jan
 
Conferencia gestión de sistemas de seguridad vial en las organizaciones
Conferencia gestión de sistemas de seguridad vial en las organizacionesConferencia gestión de sistemas de seguridad vial en las organizaciones
Conferencia gestión de sistemas de seguridad vial en las organizaciones
 
Proyecto
ProyectoProyecto
Proyecto
 
Comunicación escrita UTS Barinas.
Comunicación escrita UTS Barinas.Comunicación escrita UTS Barinas.
Comunicación escrita UTS Barinas.
 
Delph Property Group
Delph Property GroupDelph Property Group
Delph Property Group
 
Dropbox гэж юу вэ
Dropbox гэж юу вэDropbox гэж юу вэ
Dropbox гэж юу вэ
 
Εικαστική Παιδεία ν.29, 2013
Εικαστική Παιδεία ν.29, 2013Εικαστική Παιδεία ν.29, 2013
Εικαστική Παιδεία ν.29, 2013
 
Etalbond: Aluminum Composite Panels, A2 fire resistant
Etalbond: Aluminum Composite Panels, A2 fire resistantEtalbond: Aluminum Composite Panels, A2 fire resistant
Etalbond: Aluminum Composite Panels, A2 fire resistant
 
CATÁLOGO EVENTOS ALM. RET.
CATÁLOGO EVENTOS ALM. RET.CATÁLOGO EVENTOS ALM. RET.
CATÁLOGO EVENTOS ALM. RET.
 
Fumar y embarazo cartel 5
Fumar y embarazo cartel 5Fumar y embarazo cartel 5
Fumar y embarazo cartel 5
 
Mohammad_Aadil_Khan_CV
Mohammad_Aadil_Khan_CVMohammad_Aadil_Khan_CV
Mohammad_Aadil_Khan_CV
 
Salud familiar i
Salud familiar iSalud familiar i
Salud familiar i
 

Similar to Design And Verification of AMBA APB Protocol

Design and Implementation of AMBA ASB apb bridge
Design and Implementation of AMBA ASB apb bridgeDesign and Implementation of AMBA ASB apb bridge
Design and Implementation of AMBA ASB apb bridge
Manu BN
 
IRJET - Design of AMBA based AHB2APB Protocol for Efficient Utilization of AH...
IRJET - Design of AMBA based AHB2APB Protocol for Efficient Utilization of AH...IRJET - Design of AMBA based AHB2APB Protocol for Efficient Utilization of AH...
IRJET - Design of AMBA based AHB2APB Protocol for Efficient Utilization of AH...
IRJET Journal
 
Design and Analysis of Xilinx Verified AMBA Bridge for SoC Systems
Design and Analysis of Xilinx Verified AMBA Bridge for SoC SystemsDesign and Analysis of Xilinx Verified AMBA Bridge for SoC Systems
Design and Analysis of Xilinx Verified AMBA Bridge for SoC Systems
idescitation
 
IRJET- Design and Verification of APB Protocol by using System Verilog and Un...
IRJET- Design and Verification of APB Protocol by using System Verilog and Un...IRJET- Design and Verification of APB Protocol by using System Verilog and Un...
IRJET- Design and Verification of APB Protocol by using System Verilog and Un...
IRJET Journal
 
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGEVLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
VLSICS Design
 
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGEVLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
VLSICS Design
 
IRJET - Analysis of Different Arbitration Algorithms for Amba Ahb Bus Protoco...
IRJET - Analysis of Different Arbitration Algorithms for Amba Ahb Bus Protoco...IRJET - Analysis of Different Arbitration Algorithms for Amba Ahb Bus Protoco...
IRJET - Analysis of Different Arbitration Algorithms for Amba Ahb Bus Protoco...
IRJET Journal
 
A Proficient Recognition Method for ML-AHB Bus Matrix
A Proficient Recognition Method for ML-AHB Bus MatrixA Proficient Recognition Method for ML-AHB Bus Matrix
A Proficient Recognition Method for ML-AHB Bus Matrix
IRJET Journal
 
Design and FPGA Implementation of AMBA APB Bridge with Clock Skew Minimizatio...
Design and FPGA Implementation of AMBA APB Bridge with Clock Skew Minimizatio...Design and FPGA Implementation of AMBA APB Bridge with Clock Skew Minimizatio...
Design and FPGA Implementation of AMBA APB Bridge with Clock Skew Minimizatio...
IOSRJVSP
 
VLSI DESIGN OF AMBA BASED AHB2APBBRIDGE
VLSI DESIGN OF AMBA BASED AHB2APBBRIDGEVLSI DESIGN OF AMBA BASED AHB2APBBRIDGE
VLSI DESIGN OF AMBA BASED AHB2APBBRIDGE
VLSICS Design
 
An On-Chip Bus Tracer Analyzer With Amba AHB For Real Time Tracing With Lossl...
An On-Chip Bus Tracer Analyzer With Amba AHB For Real Time Tracing With Lossl...An On-Chip Bus Tracer Analyzer With Amba AHB For Real Time Tracing With Lossl...
An On-Chip Bus Tracer Analyzer With Amba AHB For Real Time Tracing With Lossl...
IJERA Editor
 
Isa bus nptel
Isa bus nptelIsa bus nptel
Isa bus nptel
M.V Mahesh Vanamuthu
 
FPGA Implementation of High Speed AMBA Bus Architecture for Image Transmissio...
FPGA Implementation of High Speed AMBA Bus Architecture for Image Transmissio...FPGA Implementation of High Speed AMBA Bus Architecture for Image Transmissio...
FPGA Implementation of High Speed AMBA Bus Architecture for Image Transmissio...
IRJET Journal
 
40120130406005
4012013040600540120130406005
40120130406005
IAEME Publication
 
Implementation of CAN on FPGA for Security Evaluation Purpose
Implementation of CAN on FPGA for Security Evaluation PurposeImplementation of CAN on FPGA for Security Evaluation Purpose
Implementation of CAN on FPGA for Security Evaluation Purpose
IRJET Journal
 
AMBA 2.0 REPORT
AMBA 2.0 REPORTAMBA 2.0 REPORT
AMBA 2.0 REPORT
Nirav Desai
 
Area Efficient VHDL implementation of AHB arbiter IP
Area Efficient VHDL implementation of AHB arbiter IPArea Efficient VHDL implementation of AHB arbiter IP
Area Efficient VHDL implementation of AHB arbiter IP
IRJET Journal
 
DESIGN AND ANALYSIS OF A 32-BIT PIPELINED MIPS RISC PROCESSOR
DESIGN AND ANALYSIS OF A 32-BIT PIPELINED MIPS RISC PROCESSORDESIGN AND ANALYSIS OF A 32-BIT PIPELINED MIPS RISC PROCESSOR
DESIGN AND ANALYSIS OF A 32-BIT PIPELINED MIPS RISC PROCESSOR
VLSICS Design
 
Design and Analysis of A 32-bit Pipelined MIPS Risc Processor
Design and Analysis of A 32-bit Pipelined MIPS Risc ProcessorDesign and Analysis of A 32-bit Pipelined MIPS Risc Processor
Design and Analysis of A 32-bit Pipelined MIPS Risc Processor
VLSICS Design
 
DESIGN AND ANALYSIS OF A 32-BIT PIPELINED MIPS RISC PROCESSOR
DESIGN AND ANALYSIS OF A 32-BIT PIPELINED MIPS RISC PROCESSORDESIGN AND ANALYSIS OF A 32-BIT PIPELINED MIPS RISC PROCESSOR
DESIGN AND ANALYSIS OF A 32-BIT PIPELINED MIPS RISC PROCESSOR
VLSICS Design
 

Similar to Design And Verification of AMBA APB Protocol (20)

Design and Implementation of AMBA ASB apb bridge
Design and Implementation of AMBA ASB apb bridgeDesign and Implementation of AMBA ASB apb bridge
Design and Implementation of AMBA ASB apb bridge
 
IRJET - Design of AMBA based AHB2APB Protocol for Efficient Utilization of AH...
IRJET - Design of AMBA based AHB2APB Protocol for Efficient Utilization of AH...IRJET - Design of AMBA based AHB2APB Protocol for Efficient Utilization of AH...
IRJET - Design of AMBA based AHB2APB Protocol for Efficient Utilization of AH...
 
Design and Analysis of Xilinx Verified AMBA Bridge for SoC Systems
Design and Analysis of Xilinx Verified AMBA Bridge for SoC SystemsDesign and Analysis of Xilinx Verified AMBA Bridge for SoC Systems
Design and Analysis of Xilinx Verified AMBA Bridge for SoC Systems
 
IRJET- Design and Verification of APB Protocol by using System Verilog and Un...
IRJET- Design and Verification of APB Protocol by using System Verilog and Un...IRJET- Design and Verification of APB Protocol by using System Verilog and Un...
IRJET- Design and Verification of APB Protocol by using System Verilog and Un...
 
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGEVLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
 
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGEVLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
 
IRJET - Analysis of Different Arbitration Algorithms for Amba Ahb Bus Protoco...
IRJET - Analysis of Different Arbitration Algorithms for Amba Ahb Bus Protoco...IRJET - Analysis of Different Arbitration Algorithms for Amba Ahb Bus Protoco...
IRJET - Analysis of Different Arbitration Algorithms for Amba Ahb Bus Protoco...
 
A Proficient Recognition Method for ML-AHB Bus Matrix
A Proficient Recognition Method for ML-AHB Bus MatrixA Proficient Recognition Method for ML-AHB Bus Matrix
A Proficient Recognition Method for ML-AHB Bus Matrix
 
Design and FPGA Implementation of AMBA APB Bridge with Clock Skew Minimizatio...
Design and FPGA Implementation of AMBA APB Bridge with Clock Skew Minimizatio...Design and FPGA Implementation of AMBA APB Bridge with Clock Skew Minimizatio...
Design and FPGA Implementation of AMBA APB Bridge with Clock Skew Minimizatio...
 
VLSI DESIGN OF AMBA BASED AHB2APBBRIDGE
VLSI DESIGN OF AMBA BASED AHB2APBBRIDGEVLSI DESIGN OF AMBA BASED AHB2APBBRIDGE
VLSI DESIGN OF AMBA BASED AHB2APBBRIDGE
 
An On-Chip Bus Tracer Analyzer With Amba AHB For Real Time Tracing With Lossl...
An On-Chip Bus Tracer Analyzer With Amba AHB For Real Time Tracing With Lossl...An On-Chip Bus Tracer Analyzer With Amba AHB For Real Time Tracing With Lossl...
An On-Chip Bus Tracer Analyzer With Amba AHB For Real Time Tracing With Lossl...
 
Isa bus nptel
Isa bus nptelIsa bus nptel
Isa bus nptel
 
FPGA Implementation of High Speed AMBA Bus Architecture for Image Transmissio...
FPGA Implementation of High Speed AMBA Bus Architecture for Image Transmissio...FPGA Implementation of High Speed AMBA Bus Architecture for Image Transmissio...
FPGA Implementation of High Speed AMBA Bus Architecture for Image Transmissio...
 
40120130406005
4012013040600540120130406005
40120130406005
 
Implementation of CAN on FPGA for Security Evaluation Purpose
Implementation of CAN on FPGA for Security Evaluation PurposeImplementation of CAN on FPGA for Security Evaluation Purpose
Implementation of CAN on FPGA for Security Evaluation Purpose
 
AMBA 2.0 REPORT
AMBA 2.0 REPORTAMBA 2.0 REPORT
AMBA 2.0 REPORT
 
Area Efficient VHDL implementation of AHB arbiter IP
Area Efficient VHDL implementation of AHB arbiter IPArea Efficient VHDL implementation of AHB arbiter IP
Area Efficient VHDL implementation of AHB arbiter IP
 
DESIGN AND ANALYSIS OF A 32-BIT PIPELINED MIPS RISC PROCESSOR
DESIGN AND ANALYSIS OF A 32-BIT PIPELINED MIPS RISC PROCESSORDESIGN AND ANALYSIS OF A 32-BIT PIPELINED MIPS RISC PROCESSOR
DESIGN AND ANALYSIS OF A 32-BIT PIPELINED MIPS RISC PROCESSOR
 
Design and Analysis of A 32-bit Pipelined MIPS Risc Processor
Design and Analysis of A 32-bit Pipelined MIPS Risc ProcessorDesign and Analysis of A 32-bit Pipelined MIPS Risc Processor
Design and Analysis of A 32-bit Pipelined MIPS Risc Processor
 
DESIGN AND ANALYSIS OF A 32-BIT PIPELINED MIPS RISC PROCESSOR
DESIGN AND ANALYSIS OF A 32-BIT PIPELINED MIPS RISC PROCESSORDESIGN AND ANALYSIS OF A 32-BIT PIPELINED MIPS RISC PROCESSOR
DESIGN AND ANALYSIS OF A 32-BIT PIPELINED MIPS RISC PROCESSOR
 

Recently uploaded

Prediction of Electrical Energy Efficiency Using Information on Consumer's Ac...
Prediction of Electrical Energy Efficiency Using Information on Consumer's Ac...Prediction of Electrical Energy Efficiency Using Information on Consumer's Ac...
Prediction of Electrical Energy Efficiency Using Information on Consumer's Ac...
PriyankaKilaniya
 
Mechanical Engineering on AAI Summer Training Report-003.pdf
Mechanical Engineering on AAI Summer Training Report-003.pdfMechanical Engineering on AAI Summer Training Report-003.pdf
Mechanical Engineering on AAI Summer Training Report-003.pdf
21UME003TUSHARDEB
 
Power Electronics- AC -AC Converters.pptx
Power Electronics- AC -AC Converters.pptxPower Electronics- AC -AC Converters.pptx
Power Electronics- AC -AC Converters.pptx
Poornima D
 
4. Mosca vol I -Fisica-Tipler-5ta-Edicion-Vol-1.pdf
4. Mosca vol I -Fisica-Tipler-5ta-Edicion-Vol-1.pdf4. Mosca vol I -Fisica-Tipler-5ta-Edicion-Vol-1.pdf
4. Mosca vol I -Fisica-Tipler-5ta-Edicion-Vol-1.pdf
Gino153088
 
UNIT 4 LINEAR INTEGRATED CIRCUITS-DIGITAL ICS
UNIT 4 LINEAR INTEGRATED CIRCUITS-DIGITAL ICSUNIT 4 LINEAR INTEGRATED CIRCUITS-DIGITAL ICS
UNIT 4 LINEAR INTEGRATED CIRCUITS-DIGITAL ICS
vmspraneeth
 
An Introduction to the Compiler Designss
An Introduction to the Compiler DesignssAn Introduction to the Compiler Designss
An Introduction to the Compiler Designss
ElakkiaU
 
5G Radio Network Througput Problem Analysis HCIA.pdf
5G Radio Network Througput Problem Analysis HCIA.pdf5G Radio Network Througput Problem Analysis HCIA.pdf
5G Radio Network Througput Problem Analysis HCIA.pdf
AlvianRamadhani5
 
openshift technical overview - Flow of openshift containerisatoin
openshift technical overview - Flow of openshift containerisatoinopenshift technical overview - Flow of openshift containerisatoin
openshift technical overview - Flow of openshift containerisatoin
snaprevwdev
 
DESIGN AND MANUFACTURE OF CEILING BOARD USING SAWDUST AND WASTE CARTON MATERI...
DESIGN AND MANUFACTURE OF CEILING BOARD USING SAWDUST AND WASTE CARTON MATERI...DESIGN AND MANUFACTURE OF CEILING BOARD USING SAWDUST AND WASTE CARTON MATERI...
DESIGN AND MANUFACTURE OF CEILING BOARD USING SAWDUST AND WASTE CARTON MATERI...
OKORIE1
 
ITSM Integration with MuleSoft.pptx
ITSM  Integration with MuleSoft.pptxITSM  Integration with MuleSoft.pptx
ITSM Integration with MuleSoft.pptx
VANDANAMOHANGOUDA
 
Determination of Equivalent Circuit parameters and performance characteristic...
Determination of Equivalent Circuit parameters and performance characteristic...Determination of Equivalent Circuit parameters and performance characteristic...
Determination of Equivalent Circuit parameters and performance characteristic...
pvpriya2
 
一比一原版(osu毕业证书)美国俄勒冈州立大学毕业证如何办理
一比一原版(osu毕业证书)美国俄勒冈州立大学毕业证如何办理一比一原版(osu毕业证书)美国俄勒冈州立大学毕业证如何办理
一比一原版(osu毕业证书)美国俄勒冈州立大学毕业证如何办理
upoux
 
This study Examines the Effectiveness of Talent Procurement through the Imple...
This study Examines the Effectiveness of Talent Procurement through the Imple...This study Examines the Effectiveness of Talent Procurement through the Imple...
This study Examines the Effectiveness of Talent Procurement through the Imple...
DharmaBanothu
 
SENTIMENT ANALYSIS ON PPT AND Project template_.pptx
SENTIMENT ANALYSIS ON PPT AND Project template_.pptxSENTIMENT ANALYSIS ON PPT AND Project template_.pptx
SENTIMENT ANALYSIS ON PPT AND Project template_.pptx
b0754201
 
Unit -II Spectroscopy - EC I B.Tech.pdf
Unit -II Spectroscopy - EC  I B.Tech.pdfUnit -II Spectroscopy - EC  I B.Tech.pdf
Unit -II Spectroscopy - EC I B.Tech.pdf
TeluguBadi
 
1FIDIC-CONSTRUCTION-CONTRACT-2ND-ED-2017-RED-BOOK.pdf
1FIDIC-CONSTRUCTION-CONTRACT-2ND-ED-2017-RED-BOOK.pdf1FIDIC-CONSTRUCTION-CONTRACT-2ND-ED-2017-RED-BOOK.pdf
1FIDIC-CONSTRUCTION-CONTRACT-2ND-ED-2017-RED-BOOK.pdf
MadhavJungKarki
 
Blood finder application project report (1).pdf
Blood finder application project report (1).pdfBlood finder application project report (1).pdf
Blood finder application project report (1).pdf
Kamal Acharya
 
一比一原版(USF毕业证)旧金山大学毕业证如何办理
一比一原版(USF毕业证)旧金山大学毕业证如何办理一比一原版(USF毕业证)旧金山大学毕业证如何办理
一比一原版(USF毕业证)旧金山大学毕业证如何办理
uqyfuc
 
一比一原版(CalArts毕业证)加利福尼亚艺术学院毕业证如何办理
一比一原版(CalArts毕业证)加利福尼亚艺术学院毕业证如何办理一比一原版(CalArts毕业证)加利福尼亚艺术学院毕业证如何办理
一比一原版(CalArts毕业证)加利福尼亚艺术学院毕业证如何办理
ecqow
 
Asymmetrical Repulsion Magnet Motor Ratio 6-7.pdf
Asymmetrical Repulsion Magnet Motor Ratio 6-7.pdfAsymmetrical Repulsion Magnet Motor Ratio 6-7.pdf
Asymmetrical Repulsion Magnet Motor Ratio 6-7.pdf
felixwold
 

Recently uploaded (20)

Prediction of Electrical Energy Efficiency Using Information on Consumer's Ac...
Prediction of Electrical Energy Efficiency Using Information on Consumer's Ac...Prediction of Electrical Energy Efficiency Using Information on Consumer's Ac...
Prediction of Electrical Energy Efficiency Using Information on Consumer's Ac...
 
Mechanical Engineering on AAI Summer Training Report-003.pdf
Mechanical Engineering on AAI Summer Training Report-003.pdfMechanical Engineering on AAI Summer Training Report-003.pdf
Mechanical Engineering on AAI Summer Training Report-003.pdf
 
Power Electronics- AC -AC Converters.pptx
Power Electronics- AC -AC Converters.pptxPower Electronics- AC -AC Converters.pptx
Power Electronics- AC -AC Converters.pptx
 
4. Mosca vol I -Fisica-Tipler-5ta-Edicion-Vol-1.pdf
4. Mosca vol I -Fisica-Tipler-5ta-Edicion-Vol-1.pdf4. Mosca vol I -Fisica-Tipler-5ta-Edicion-Vol-1.pdf
4. Mosca vol I -Fisica-Tipler-5ta-Edicion-Vol-1.pdf
 
UNIT 4 LINEAR INTEGRATED CIRCUITS-DIGITAL ICS
UNIT 4 LINEAR INTEGRATED CIRCUITS-DIGITAL ICSUNIT 4 LINEAR INTEGRATED CIRCUITS-DIGITAL ICS
UNIT 4 LINEAR INTEGRATED CIRCUITS-DIGITAL ICS
 
An Introduction to the Compiler Designss
An Introduction to the Compiler DesignssAn Introduction to the Compiler Designss
An Introduction to the Compiler Designss
 
5G Radio Network Througput Problem Analysis HCIA.pdf
5G Radio Network Througput Problem Analysis HCIA.pdf5G Radio Network Througput Problem Analysis HCIA.pdf
5G Radio Network Througput Problem Analysis HCIA.pdf
 
openshift technical overview - Flow of openshift containerisatoin
openshift technical overview - Flow of openshift containerisatoinopenshift technical overview - Flow of openshift containerisatoin
openshift technical overview - Flow of openshift containerisatoin
 
DESIGN AND MANUFACTURE OF CEILING BOARD USING SAWDUST AND WASTE CARTON MATERI...
DESIGN AND MANUFACTURE OF CEILING BOARD USING SAWDUST AND WASTE CARTON MATERI...DESIGN AND MANUFACTURE OF CEILING BOARD USING SAWDUST AND WASTE CARTON MATERI...
DESIGN AND MANUFACTURE OF CEILING BOARD USING SAWDUST AND WASTE CARTON MATERI...
 
ITSM Integration with MuleSoft.pptx
ITSM  Integration with MuleSoft.pptxITSM  Integration with MuleSoft.pptx
ITSM Integration with MuleSoft.pptx
 
Determination of Equivalent Circuit parameters and performance characteristic...
Determination of Equivalent Circuit parameters and performance characteristic...Determination of Equivalent Circuit parameters and performance characteristic...
Determination of Equivalent Circuit parameters and performance characteristic...
 
一比一原版(osu毕业证书)美国俄勒冈州立大学毕业证如何办理
一比一原版(osu毕业证书)美国俄勒冈州立大学毕业证如何办理一比一原版(osu毕业证书)美国俄勒冈州立大学毕业证如何办理
一比一原版(osu毕业证书)美国俄勒冈州立大学毕业证如何办理
 
This study Examines the Effectiveness of Talent Procurement through the Imple...
This study Examines the Effectiveness of Talent Procurement through the Imple...This study Examines the Effectiveness of Talent Procurement through the Imple...
This study Examines the Effectiveness of Talent Procurement through the Imple...
 
SENTIMENT ANALYSIS ON PPT AND Project template_.pptx
SENTIMENT ANALYSIS ON PPT AND Project template_.pptxSENTIMENT ANALYSIS ON PPT AND Project template_.pptx
SENTIMENT ANALYSIS ON PPT AND Project template_.pptx
 
Unit -II Spectroscopy - EC I B.Tech.pdf
Unit -II Spectroscopy - EC  I B.Tech.pdfUnit -II Spectroscopy - EC  I B.Tech.pdf
Unit -II Spectroscopy - EC I B.Tech.pdf
 
1FIDIC-CONSTRUCTION-CONTRACT-2ND-ED-2017-RED-BOOK.pdf
1FIDIC-CONSTRUCTION-CONTRACT-2ND-ED-2017-RED-BOOK.pdf1FIDIC-CONSTRUCTION-CONTRACT-2ND-ED-2017-RED-BOOK.pdf
1FIDIC-CONSTRUCTION-CONTRACT-2ND-ED-2017-RED-BOOK.pdf
 
Blood finder application project report (1).pdf
Blood finder application project report (1).pdfBlood finder application project report (1).pdf
Blood finder application project report (1).pdf
 
一比一原版(USF毕业证)旧金山大学毕业证如何办理
一比一原版(USF毕业证)旧金山大学毕业证如何办理一比一原版(USF毕业证)旧金山大学毕业证如何办理
一比一原版(USF毕业证)旧金山大学毕业证如何办理
 
一比一原版(CalArts毕业证)加利福尼亚艺术学院毕业证如何办理
一比一原版(CalArts毕业证)加利福尼亚艺术学院毕业证如何办理一比一原版(CalArts毕业证)加利福尼亚艺术学院毕业证如何办理
一比一原版(CalArts毕业证)加利福尼亚艺术学院毕业证如何办理
 
Asymmetrical Repulsion Magnet Motor Ratio 6-7.pdf
Asymmetrical Repulsion Magnet Motor Ratio 6-7.pdfAsymmetrical Repulsion Magnet Motor Ratio 6-7.pdf
Asymmetrical Repulsion Magnet Motor Ratio 6-7.pdf
 

Design And Verification of AMBA APB Protocol

  • 1. Kommirisetti Bheema Raju. Int. Journal of Engineering Research and Application www.ijera.com ISSN: 2248-9622, Vol. 7, Issue 1, (Part -1) January 2017, pp.87-90 www.ijera.com 87 | P a g e Design And Verification of AMBA APB Protocol 1 Kommirisetti Bheema Raju,2 Bala Krishna Konda, M.Tech, 1 M.Tech Digital Electronics & Communication Systems(Decs) Student In Elure College Of Engineering And Technology, Affiliated To Jntuk, Eluru, Andhra Pradesh. 2 Asistant Professor In The Department Of Ece In Eluru College Of Engineering And Technology, Affiliated To Jntuk, Eluru, Andhra Pradesh ABSTRACT: Advanced microcontroller bus architecture (AMBA) is a well established open specification for the proper management of functional blocks comprising system-on-chips (SOCs). A Memory Controller is designed to cater to this problem. This design presents an intellectual property (IP) for inter-Advanced peripheral bus (APB) protocol. The Memory Controller is a digital circuit which manages the flow of data going to and from the main memory. It can be a separate chip or can be integrated into the system chipset. This paper revolves around building an Advanced Microcontroller Bus Architecture (AMBA) compliant Memory Controller as an Advanced High-performance Bus (AHB) slave. The work involved is of APB Protocol and its slave Verification. The whole design is captured using VHDL, simulated with ModelSim and configured to a FPGA target device belonging to the Virtex4 family using Xilinx. I. INTRODUCTION In the realm of processing, these elements perform different tasks in order to realize an overall solution. Consider a set-top box for television (TV) sets as an example [1]. A set-top box must generate inputs for a particular television channel from the received digital satellite signal. The entire process incorporates several phases. The first is to split the incoming digital signal into its component video and audio data streams. The next phase is to convert the video data stream into its actual television signal. Also, the audio data stream has to be changed into audio signal for the TV set. Besides, an additional job to look after will be to handle the user input for changing the channel when the remote control will be pressed. All these operations have to be completed not only simultaneously but within a certain time frame. The cost of not accomplishing these within the stipulated time frame or deadline will be manifested in the form of blank screen or audible noises. This is obviously unacceptable and hence, it is necessary to always deliver the data within real-time deadlines. The needed computational elements here will be either general-purpose or special-purpose processors (such as signal processors). Nowadays, multitudes of these devices are integrated in the form of an SOC. A processor needs to interact with other processors, memories or input/output (I/O) devices to complete a task. Currently, bus systems are used to interconnect the intellectual property (IP) blocks. Many SoCs comprise Application Specific Integrated Circuits (ASICs) that are offered by several companies. The Advanced RISC Machines (ARM) microprocessor is very popular for SoC solutions. Today it is fair to say that the ARM Embedded Technology is universally recognized as an industry standard for ASIC design for portable applications. Creating and applying powerful, portable and at the same time re-usable intellectual Property (IP), capable of enhancing an ARM core is therefore of utmost importance to any ASIC design centre. Description Of Amba Ahb Bus. An AMBA AHB design may include one or more bus masters, typically a system would contain at least the processor and the test interface . However, it would also be common for a Direct Memory Access (DMA) or Digital Signal Processor (DSP) to be included as bus masters. The external memory interface, the Advanced Peripheral Bus (APB) Bridge and any internal memory are the most common AHB slaves. Any other peripheral in the system could also be included as an AHB slave. However, low- bandwidth peripherals typically reside on the APB. Fig. 1 A typical AMBA architecture. Before an AMBA AHB transfer can commence the bus master must be granted access to the bus. This process is started by the master RESEARCH ARTICLE OPEN ACCESS
  • 2. Kommirisetti Bheema Raju. Int. Journal of Engineering Research and Application www.ijera.com ISSN: 2248-9622, Vol. 7, Issue 1, (Part -1) January 2017, pp.87-90 www.ijera.com 88 | P a g e asserting a request signal to the arbiter. Then the arbiter indicates when the master will be granted use of the bus. A granted bus master starts an AMBA AHB transfer by driving the address and control signals. These signals provide information on the address, direction and width of the transfer, as well as an indication if the transfer forms part of a burst. Two different forms of burst transfers are allowed: incrementing bursts, which do not wrap at address boundaries; and wrapping bursts, which wrap at particular address boundaries. A write data bus is used to move data from the master to a slave, while a read data bus is used to move data from a slave to the master. Fig.2 An AMBA AHB design with three masters and four slaves. Apb Block Diagram: The APB is the member of the AMBA 3 protocol family which implements a low cost interface which minimizes the power consumption and reduces the interface complexity. Since APB has unpipelined protocol. Therefore, it interfaces to the low bandwidth peripherals that do not demand the high performance of the pipelined bus interface. All the signal transitions are associated with the rising edge of the clock which makes it simple to integrate APB peripherals into any design flow. APB can interface with the AMBA AHB-Lite and AMBA Advanced Extensible Interface (AXI). APB can also be used to access the programmable control registers of the peripheral devices. Fig3: Interfacing of APB Master & Slave. Table 1. List of APB signals Idle is the normal state of the APB. When a Transfer is necessary the bus relocates into the Setup state, where the suitable select signal, Pselx, is asserted. Fig3: State diagram. The bus only waits in the SETUP state for one clock cycle and always moves to the ACCESS state on the next rising edge of the clock. ACCESS will enable signal, PENABLE, is asserted in the ACCESS state. The write, write data signals, select, and address must remain stable during the transition from the SETUP to ACCESS state. ACCESS state is controls when to exit by the PREADY signal from the slave. These are the conditions one is if PREADY is held LOW by the slave then the peripheral bus remains in the ACCESS state another is PREADY is driven HIGH by the slave then the ACCESS state is exited and the bus returns to the IDLE state if no more transfers are required after that it will start the same cycle.
  • 3. Kommirisetti Bheema Raju. Int. Journal of Engineering Research and Application www.ijera.com ISSN: 2248-9622, Vol. 7, Issue 1, (Part -1) January 2017, pp.87-90 www.ijera.com 89 | P a g e Fig6: RTL Schematic diagram of APB Master Slave Burst. Write cycle At T1, a write transfer starts with PADDR, PWDATA, PWRITE, and PSEL, being registered at the rising edge of PCLK. It is called the SETUP cycle. At the next rising edge of the clock T2 it is called ACCESS cycle, PENABLE, and PREADY, are registered. When asserted, PENABLE indicates starting of Access phase of the transfer. When asserted, PREADY indicates that the slave can complete the transfer at the next rising edge of PCLK. The PADDR, PWDATA, and control signals all remain valid until the transfer completes at T3, the end of the Access phase. The PENABLE, is disabled at the end of the transfer. The select signal PSEL is also disabled unless the transfer is to be followed immediately by another transfer to the same peripheral. Fig 4: APB Write cycle. Read cycle During read operation the PENABLE, PSEL, PADDR PWRITE, signals are asserted at the clock edge T1 (SETUP cycle). At the clock edge T2, (ACCESS cycle), the PENABLE, PREADY are asserted and PRDATA is also read during this phase. The slave must provide the data before the end of the read transfer. Fig 5: APB Read Cycle. Simulation Results Fig6: simulation result for amba apb master slave burst. II. CONCLUSION This paper gives an outline of the AMBA bus architecture and explains the APB bus in detail. The design has taken care of balance between area overhead and speed. The read write operation is accomplished with zero wait states from the external ROM and the write operation with zero states to the external RAM. The APB bus is designed using the Verilog HDL according to the specification and is verified using Xilinx. REFERENCES [1]. “Design and Implementation of High- Performance Master/Slave Memory Controller with Microcontroller Bus Architecture” Shashisekhar Ramagundam1, Sunil R.Das1, 2, Scott Morton1, Satyendra N. Biswas4, Voicu Groza2, Mansour H. Assaf3, and Emil M. Petriu2 1Department of Computer Science, College of Arts and Sciences, Troy University, Montgomery, AL 36103, USA School of Information Technology and Engineering, Faculty of Engineering, University of Ottawa, Ottawa, ON K1N 6N5, Canada 3School of Engineering and Physics, University of the South Pacific, Suva 19128, Fiji 4School of Engineering and Technology, Kaziranga University, Jorhat 785006, India. [2]. Y. Hu and B. Yang, “Building an AMBA AHB compliant memory controller”, Proceedings of the Third International Conference on Measuring Technology and Mechatronics Automation, Vol. 01, 2011, pp. 658–661. [3]. S. Rao and A. S. Phadke, “Implementation of AMBA compliant memory controller on a FPGA”, International Journal of Emerging Trends in Electrical and Electronics, Vol. 2, April 2013, pp. 20–23. [4]. AMBA Specification (Rev 2.0), ARM Inc., 1999. [5]. AHB Example – AMBA System, Technical Reference Manual, ARM Inc., 1999. [6]. Sudeep Pasricha, “On-Chip Communication Architecture Synthesis for Multi-Processor
  • 4. Kommirisetti Bheema Raju. Int. Journal of Engineering Research and Application www.ijera.com ISSN: 2248-9622, Vol. 7, Issue 1, (Part -1) January 2017, pp.87-90 www.ijera.com 90 | P a g e Systems-on-Chip”, University of California, 2008. [7]. “PrimeCell Synchronous Static Memory Controller”, Technical Reference Manual, ARM Inc, 2001-2005. [8]. URL:http://wwwmicro.deis.unibo.it/~magagni /amba99.pdf [9]. ARM, “AMBA Specification Overview”, available at http://www.arm.com/. [10]. ARM, “AMBA Specification (Rev 2.0)”, available at http://www.arm.com. [11]. URL:http://www.differencebetween.net/techno logy/difference-between-ahb-and-apb [12]. Samir Palnitkar, “Verilog HDL: A guide to Digital Design and Synthesis (2nd Edition), Pearson, 2008. [13]. URL:http://www.testbench.com. Bala Krishna Konda is currently working as an Asst. Prof. in the Department of ECE in Eluru College of Engineering and Technology. He completed his B.Tech in ECE from Sri Vasavi Engineering College, Tadepalligudem, Andhra Pradesh and M.Tech from Sri Vasavi Engineering College, Tadepalligudem, Andhra Pradesh. He is interested in the field of VLSI. Kommirisetti Bheema Raju has obtained his Graduation at Akula Gopayya College of Engineering, Tadepalligudem, Andhara Pradesh and currently pursuing his Post Graduation From Eluru College of Engineering and Technology, Eluru, Andhra Pradesh.