SlideShare a Scribd company logo
APB
(Advanced Peripheral Bus)
Introduction
 AMBA was introduced by ARM in 1996. The first AMBA buses were the Advanced
System Bus (ASB) and the Advanced Peripheral Bus (APB).
 The APB is part of the AMBA protocol family.
 It provides a low-cost interface that is optimized for minimal power
consumption and reduced interface complexity.
 The APB interfaces to any peripherals that are low-bandwidth and do not
require the high performance of a pipelined bus interface.
 The APB has unpipelined protocol.
 All signal transitions are only related to the rising edge of the clock to enable
the integration of APB peripherals easily into any design flow.
Signal Description:
 PCLK – The rising edge of PCLK times all transfers on the APB.
 PRESETn - The APB reset signal is active LOW. This signal is normally
connected directly to the system bus reset signal.
 PADDR - This is the APB address bus. It can be up to 32 bits wide and is driven
by the peripheral bus bridge unit.
 PSELx - The APB bridge unit generates this signal to each peripheral bus slave.
It indicates that the slave device is selected and that a data transfer
is required. There is a PSELx signal for each slave.
 PENABLE -This signal indicates the second and subsequent cycles of an APB
transfer.
 PWRITE - This signal indicates an APB write access when HIGH and an APB
read access when LOW.
Signal Description:
 PWDATA - This bus is driven by the peripheral bus bridge unit during write
cycles when PWRITE is HIGH. This bus can be up to 32 bits wide.
 PREADY - The slave uses this signal to extend an APB transfer.
 PRDATA - The selected slave drives this bus during read cycles when PWRITE is
LOW. This bus can be up to 32-bits wide.
 PSLVERR - This signal indicates a transfer failure. APB peripherals are not
required to support the PSLVERR pin. This is true for both existing
and new APB peripheral designs. Where a peripheral does not
include this pin then the appropriate input to the APB bridge is tied
LOW.
Master-Slave Communication:
Write Transfers:
With no wait states:
 The write transfer starts with the address, write data, write signal and select
signal all changing after the rising edge of the clock.
 The first clock cycle of the transfer is called the Setup phase.
 After the following clock edge the enable signal is asserted, PENABLE, and
this indicates that the Access phase is taking place.
Write Transfer:
 The address, data and control signals all remain valid throughout the Access
phase.
 The transfer completes at the end of this cycle.
 The enable signal, PENABLE, is deasserted at the end of the transfer.
 The select signal, PSELx, also goes LOW unless the transfer is to be followed
immediately by another transfer to the same peripheral.
Write Transfer:
 With wait state:
 The PREADY signal from the slave can extend the transfer. During an Access
phase, when PENABLE is HIGH, the transfer can be extended by driving
PREADY LOW.
 PREADY can take any value when PENABLE is LOW. This ensures that
peripherals that have a fixed two cycle access can tie PREADY HIGH.
Read Transfer:
 With wait state:
 The transfer is extended if PREADY is driven LOW during an Access phase.
 The protocol ensures that the following remain unchanged for the additional
cycles. PADDR, PWRITE , PSEL ,PENABLE. Figure shows that two cycles are
added using the PREADY signal.
 However, you can add any number of additional cycles, from zero upwards.
Read Transfer:
 With no wait state:
Write followed by Read Transfer:
Error Response:
 You can use PSLVERR to indicate an error condition on an APB transfer. Error
conditions can occur on both read and write transactions.
 PSLVERR is only considered valid during the last cycle of an APB transfer,
when PSEL, PENABLE, and PREADY are all HIGH. It is recommended, but not
mandatory, that you drive PSLVERR LOW when it is not being sampled.
 That is, when any of PSEL, PENABLE, or PREADY are LOW. Transactions that
receive an error, might or might not have changed the state of the peripheral.
This is peripheral-specific and either is acceptable.
 When a write transaction receives an error this does not mean that the
register within the peripheral has not been updated.
 Read transactions that receive an error can return invalid data. There is no
requirement for the peripheral to drive the data bus to all 0s for a read error.
APB peripherals are not required to support the PSLVERR pin.
 This is true for both existing and new APB peripheral designs. Where a
peripheral does not include this pin then the appropriate input to the APB
bridge is tied LOW.
Failing Write Transfer:
Failing Read Transfer:
State Machine:
Advantages:
 Low power
 Simple interface
 Suitable for many peripherals
 Latched address and control
Disadvantages:
 Single master – limits parallelism
 Scalability – performance suffers as bus as loaded
Introduction about APB Protocol

More Related Content

What's hot

Ambha axi
Ambha axiAmbha axi
Ambha axi
HARINATH REDDY
 
Axi
AxiAxi
Amba presentation2
Amba presentation2Amba presentation2
Amba presentation2
Rashi Aggarwal
 
axi protocol
axi protocolaxi protocol
axi protocol
Azad Mishra
 
IRJET- Design and Verification of APB Protocol by using System Verilog and Un...
IRJET- Design and Verification of APB Protocol by using System Verilog and Un...IRJET- Design and Verification of APB Protocol by using System Verilog and Un...
IRJET- Design and Verification of APB Protocol by using System Verilog and Un...
IRJET Journal
 
AMBA Ahb 2.0
AMBA Ahb 2.0AMBA Ahb 2.0
AMBA Ahb 2.0
Akhil Srivastava
 
Design and Implementation of Axi-Apb Bridge based on Amba 4.0
Design and Implementation of Axi-Apb Bridge based on Amba 4.0Design and Implementation of Axi-Apb Bridge based on Amba 4.0
Design and Implementation of Axi-Apb Bridge based on Amba 4.0
ijsrd.com
 
AXI Protocol.pptx
AXI Protocol.pptxAXI Protocol.pptx
AXI Protocol.pptx
Yazan Yousef
 
SPI Protocol
SPI ProtocolSPI Protocol
SPI Protocol
Anurag Tomar
 
Axi protocol
Axi protocolAxi protocol
Axi protocol
Azad Mishra
 
AHB To APB BRIDGE.pptx
AHB To APB BRIDGE.pptxAHB To APB BRIDGE.pptx
AHB To APB BRIDGE.pptx
GuckChick
 
Amba axi 29 3_2015
Amba axi 29 3_2015Amba axi 29 3_2015
Amba axi 29 3_2015
kiemnhatminh
 
APB2SPI.pptx
APB2SPI.pptxAPB2SPI.pptx
APB2SPI.pptx
SandeepkumarRangala
 
Session 8,9 PCI Express
Session 8,9 PCI ExpressSession 8,9 PCI Express
Session 8,9 PCI ExpressSubhash Iyer
 
Pcie basic
Pcie basicPcie basic
Pcie basic
Saifuddin Kaijar
 
I2C Bus (Inter-Integrated Circuit)
I2C Bus (Inter-Integrated Circuit)I2C Bus (Inter-Integrated Circuit)
I2C Bus (Inter-Integrated Circuit)Varun Mahajan
 
Pc ie tl_layer (3)
Pc ie tl_layer (3)Pc ie tl_layer (3)
Pc ie tl_layer (3)
Rakeshkumar Sachdev
 

What's hot (20)

Ambha axi
Ambha axiAmbha axi
Ambha axi
 
Axi
AxiAxi
Axi
 
Amba presentation2
Amba presentation2Amba presentation2
Amba presentation2
 
Axi
AxiAxi
Axi
 
axi protocol
axi protocolaxi protocol
axi protocol
 
IRJET- Design and Verification of APB Protocol by using System Verilog and Un...
IRJET- Design and Verification of APB Protocol by using System Verilog and Un...IRJET- Design and Verification of APB Protocol by using System Verilog and Un...
IRJET- Design and Verification of APB Protocol by using System Verilog and Un...
 
PCIe
PCIePCIe
PCIe
 
AMBA Ahb 2.0
AMBA Ahb 2.0AMBA Ahb 2.0
AMBA Ahb 2.0
 
Design and Implementation of Axi-Apb Bridge based on Amba 4.0
Design and Implementation of Axi-Apb Bridge based on Amba 4.0Design and Implementation of Axi-Apb Bridge based on Amba 4.0
Design and Implementation of Axi-Apb Bridge based on Amba 4.0
 
AMBA 2.0 PPT
AMBA 2.0 PPTAMBA 2.0 PPT
AMBA 2.0 PPT
 
AXI Protocol.pptx
AXI Protocol.pptxAXI Protocol.pptx
AXI Protocol.pptx
 
SPI Protocol
SPI ProtocolSPI Protocol
SPI Protocol
 
Axi protocol
Axi protocolAxi protocol
Axi protocol
 
AHB To APB BRIDGE.pptx
AHB To APB BRIDGE.pptxAHB To APB BRIDGE.pptx
AHB To APB BRIDGE.pptx
 
Amba axi 29 3_2015
Amba axi 29 3_2015Amba axi 29 3_2015
Amba axi 29 3_2015
 
APB2SPI.pptx
APB2SPI.pptxAPB2SPI.pptx
APB2SPI.pptx
 
Session 8,9 PCI Express
Session 8,9 PCI ExpressSession 8,9 PCI Express
Session 8,9 PCI Express
 
Pcie basic
Pcie basicPcie basic
Pcie basic
 
I2C Bus (Inter-Integrated Circuit)
I2C Bus (Inter-Integrated Circuit)I2C Bus (Inter-Integrated Circuit)
I2C Bus (Inter-Integrated Circuit)
 
Pc ie tl_layer (3)
Pc ie tl_layer (3)Pc ie tl_layer (3)
Pc ie tl_layer (3)
 

Similar to Introduction about APB Protocol

VLSI DESIGN OF AMBA BASED AHB2APBBRIDGE
VLSI DESIGN OF AMBA BASED AHB2APBBRIDGEVLSI DESIGN OF AMBA BASED AHB2APBBRIDGE
VLSI DESIGN OF AMBA BASED AHB2APBBRIDGE
VLSICS Design
 
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGEVLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
VLSICS Design
 
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGEVLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
VLSICS Design
 
Design and Implementation of SOC Bus Based on AMBA 4.0
Design and Implementation of SOC Bus Based on AMBA 4.0Design and Implementation of SOC Bus Based on AMBA 4.0
Design and Implementation of SOC Bus Based on AMBA 4.0
ijsrd.com
 
ahb to convert apb bridge presentation ppt
ahb to convert  apb bridge presentation pptahb to convert  apb bridge presentation ppt
ahb to convert apb bridge presentation ppt
SandeepGowda53
 
Design And Verification of AMBA APB Protocol
Design And Verification of AMBA APB ProtocolDesign And Verification of AMBA APB Protocol
Design And Verification of AMBA APB Protocol
IJERA Editor
 
3.2 modulation formats bpsk, qpsk, oqpsk,
3.2 modulation formats   bpsk, qpsk, oqpsk,3.2 modulation formats   bpsk, qpsk, oqpsk,
3.2 modulation formats bpsk, qpsk, oqpsk,
JAIGANESH SEKAR
 
Interfacing technique with 8085- ADC[0808]
Interfacing technique with 8085- ADC[0808]Interfacing technique with 8085- ADC[0808]
Interfacing technique with 8085- ADC[0808]
Guhan k
 
Diagnostic Access of AMBA-AHB Communication Protocols
Diagnostic Access of AMBA-AHB Communication ProtocolsDiagnostic Access of AMBA-AHB Communication Protocols
Diagnostic Access of AMBA-AHB Communication Protocols
idescitation
 
Fpga implemented ahb protocol
Fpga implemented ahb protocolFpga implemented ahb protocol
Fpga implemented ahb protocoliaemedu
 
Chapter 3
Chapter 3Chapter 3
Chapter 3PRADEEP
 
mod 3-1.pptx
mod 3-1.pptxmod 3-1.pptx
mod 3-1.pptx
lekha349785
 
8051 serial communication-UART
8051 serial communication-UART8051 serial communication-UART
8051 serial communication-UART
Pantech ProLabs India Pvt Ltd
 
Universal synchronous asynchronous receiver transmitter(usart) and AtoD Coverter
Universal synchronous asynchronous receiver transmitter(usart) and AtoD CoverterUniversal synchronous asynchronous receiver transmitter(usart) and AtoD Coverter
Universal synchronous asynchronous receiver transmitter(usart) and AtoD Coverter
Tejas Shetye
 
Design and Implementation of AMBA ASB apb bridge
Design and Implementation of AMBA ASB apb bridgeDesign and Implementation of AMBA ASB apb bridge
Design and Implementation of AMBA ASB apb bridgeManu BN
 
Vlsi implementation ofdm
Vlsi implementation ofdmVlsi implementation ofdm
Vlsi implementation ofdm
Manas Verma
 

Similar to Introduction about APB Protocol (20)

VLSI DESIGN OF AMBA BASED AHB2APBBRIDGE
VLSI DESIGN OF AMBA BASED AHB2APBBRIDGEVLSI DESIGN OF AMBA BASED AHB2APBBRIDGE
VLSI DESIGN OF AMBA BASED AHB2APBBRIDGE
 
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGEVLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
 
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGEVLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
VLSI DESIGN OF AMBA BASED AHB2APB BRIDGE
 
Design and Implementation of SOC Bus Based on AMBA 4.0
Design and Implementation of SOC Bus Based on AMBA 4.0Design and Implementation of SOC Bus Based on AMBA 4.0
Design and Implementation of SOC Bus Based on AMBA 4.0
 
final
finalfinal
final
 
ahb to convert apb bridge presentation ppt
ahb to convert  apb bridge presentation pptahb to convert  apb bridge presentation ppt
ahb to convert apb bridge presentation ppt
 
Design And Verification of AMBA APB Protocol
Design And Verification of AMBA APB ProtocolDesign And Verification of AMBA APB Protocol
Design And Verification of AMBA APB Protocol
 
40120130406005
4012013040600540120130406005
40120130406005
 
3.2 modulation formats bpsk, qpsk, oqpsk,
3.2 modulation formats   bpsk, qpsk, oqpsk,3.2 modulation formats   bpsk, qpsk, oqpsk,
3.2 modulation formats bpsk, qpsk, oqpsk,
 
Interfacing technique with 8085- ADC[0808]
Interfacing technique with 8085- ADC[0808]Interfacing technique with 8085- ADC[0808]
Interfacing technique with 8085- ADC[0808]
 
Diagnostic Access of AMBA-AHB Communication Protocols
Diagnostic Access of AMBA-AHB Communication ProtocolsDiagnostic Access of AMBA-AHB Communication Protocols
Diagnostic Access of AMBA-AHB Communication Protocols
 
Fpga implemented ahb protocol
Fpga implemented ahb protocolFpga implemented ahb protocol
Fpga implemented ahb protocol
 
Chapter 3
Chapter 3Chapter 3
Chapter 3
 
Pin 8085
Pin 8085Pin 8085
Pin 8085
 
mod 3-1.pptx
mod 3-1.pptxmod 3-1.pptx
mod 3-1.pptx
 
8051 serial communication-UART
8051 serial communication-UART8051 serial communication-UART
8051 serial communication-UART
 
Amba bus
Amba busAmba bus
Amba bus
 
Universal synchronous asynchronous receiver transmitter(usart) and AtoD Coverter
Universal synchronous asynchronous receiver transmitter(usart) and AtoD CoverterUniversal synchronous asynchronous receiver transmitter(usart) and AtoD Coverter
Universal synchronous asynchronous receiver transmitter(usart) and AtoD Coverter
 
Design and Implementation of AMBA ASB apb bridge
Design and Implementation of AMBA ASB apb bridgeDesign and Implementation of AMBA ASB apb bridge
Design and Implementation of AMBA ASB apb bridge
 
Vlsi implementation ofdm
Vlsi implementation ofdmVlsi implementation ofdm
Vlsi implementation ofdm
 

Recently uploaded

Fundamentals of Induction Motor Drives.pptx
Fundamentals of Induction Motor Drives.pptxFundamentals of Induction Motor Drives.pptx
Fundamentals of Induction Motor Drives.pptx
manasideore6
 
6th International Conference on Machine Learning & Applications (CMLA 2024)
6th International Conference on Machine Learning & Applications (CMLA 2024)6th International Conference on Machine Learning & Applications (CMLA 2024)
6th International Conference on Machine Learning & Applications (CMLA 2024)
ClaraZara1
 
Fundamentals of Electric Drives and its applications.pptx
Fundamentals of Electric Drives and its applications.pptxFundamentals of Electric Drives and its applications.pptx
Fundamentals of Electric Drives and its applications.pptx
manasideore6
 
原版制作(unimelb毕业证书)墨尔本大学毕业证Offer一模一样
原版制作(unimelb毕业证书)墨尔本大学毕业证Offer一模一样原版制作(unimelb毕业证书)墨尔本大学毕业证Offer一模一样
原版制作(unimelb毕业证书)墨尔本大学毕业证Offer一模一样
obonagu
 
Heap Sort (SS).ppt FOR ENGINEERING GRADUATES, BCA, MCA, MTECH, BSC STUDENTS
Heap Sort (SS).ppt FOR ENGINEERING GRADUATES, BCA, MCA, MTECH, BSC STUDENTSHeap Sort (SS).ppt FOR ENGINEERING GRADUATES, BCA, MCA, MTECH, BSC STUDENTS
Heap Sort (SS).ppt FOR ENGINEERING GRADUATES, BCA, MCA, MTECH, BSC STUDENTS
Soumen Santra
 
bank management system in java and mysql report1.pdf
bank management system in java and mysql report1.pdfbank management system in java and mysql report1.pdf
bank management system in java and mysql report1.pdf
Divyam548318
 
Water billing management system project report.pdf
Water billing management system project report.pdfWater billing management system project report.pdf
Water billing management system project report.pdf
Kamal Acharya
 
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&BDesign and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
Sreedhar Chowdam
 
一比一原版(UMich毕业证)密歇根大学|安娜堡分校毕业证成绩单专业办理
一比一原版(UMich毕业证)密歇根大学|安娜堡分校毕业证成绩单专业办理一比一原版(UMich毕业证)密歇根大学|安娜堡分校毕业证成绩单专业办理
一比一原版(UMich毕业证)密歇根大学|安娜堡分校毕业证成绩单专业办理
zwunae
 
Nuclear Power Economics and Structuring 2024
Nuclear Power Economics and Structuring 2024Nuclear Power Economics and Structuring 2024
Nuclear Power Economics and Structuring 2024
Massimo Talia
 
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
obonagu
 
Harnessing WebAssembly for Real-time Stateless Streaming Pipelines
Harnessing WebAssembly for Real-time Stateless Streaming PipelinesHarnessing WebAssembly for Real-time Stateless Streaming Pipelines
Harnessing WebAssembly for Real-time Stateless Streaming Pipelines
Christina Lin
 
digital fundamental by Thomas L.floydl.pdf
digital fundamental by Thomas L.floydl.pdfdigital fundamental by Thomas L.floydl.pdf
digital fundamental by Thomas L.floydl.pdf
drwaing
 
sieving analysis and results interpretation
sieving analysis and results interpretationsieving analysis and results interpretation
sieving analysis and results interpretation
ssuser36d3051
 
一比一原版(IIT毕业证)伊利诺伊理工大学毕业证成绩单专业办理
一比一原版(IIT毕业证)伊利诺伊理工大学毕业证成绩单专业办理一比一原版(IIT毕业证)伊利诺伊理工大学毕业证成绩单专业办理
一比一原版(IIT毕业证)伊利诺伊理工大学毕业证成绩单专业办理
zwunae
 
PROJECT FORMAT FOR EVS AMITY UNIVERSITY GWALIOR.ppt
PROJECT FORMAT FOR EVS AMITY UNIVERSITY GWALIOR.pptPROJECT FORMAT FOR EVS AMITY UNIVERSITY GWALIOR.ppt
PROJECT FORMAT FOR EVS AMITY UNIVERSITY GWALIOR.ppt
bhadouriyakaku
 
basic-wireline-operations-course-mahmoud-f-radwan.pdf
basic-wireline-operations-course-mahmoud-f-radwan.pdfbasic-wireline-operations-course-mahmoud-f-radwan.pdf
basic-wireline-operations-course-mahmoud-f-radwan.pdf
NidhalKahouli2
 
[JPP-1] - (JEE 3.0) - Kinematics 1D - 14th May..pdf
[JPP-1] - (JEE 3.0) - Kinematics 1D - 14th May..pdf[JPP-1] - (JEE 3.0) - Kinematics 1D - 14th May..pdf
[JPP-1] - (JEE 3.0) - Kinematics 1D - 14th May..pdf
awadeshbabu
 
Modelagem de um CSTR com reação endotermica.pdf
Modelagem de um CSTR com reação endotermica.pdfModelagem de um CSTR com reação endotermica.pdf
Modelagem de um CSTR com reação endotermica.pdf
camseq
 
TOP 10 B TECH COLLEGES IN JAIPUR 2024.pptx
TOP 10 B TECH COLLEGES IN JAIPUR 2024.pptxTOP 10 B TECH COLLEGES IN JAIPUR 2024.pptx
TOP 10 B TECH COLLEGES IN JAIPUR 2024.pptx
nikitacareer3
 

Recently uploaded (20)

Fundamentals of Induction Motor Drives.pptx
Fundamentals of Induction Motor Drives.pptxFundamentals of Induction Motor Drives.pptx
Fundamentals of Induction Motor Drives.pptx
 
6th International Conference on Machine Learning & Applications (CMLA 2024)
6th International Conference on Machine Learning & Applications (CMLA 2024)6th International Conference on Machine Learning & Applications (CMLA 2024)
6th International Conference on Machine Learning & Applications (CMLA 2024)
 
Fundamentals of Electric Drives and its applications.pptx
Fundamentals of Electric Drives and its applications.pptxFundamentals of Electric Drives and its applications.pptx
Fundamentals of Electric Drives and its applications.pptx
 
原版制作(unimelb毕业证书)墨尔本大学毕业证Offer一模一样
原版制作(unimelb毕业证书)墨尔本大学毕业证Offer一模一样原版制作(unimelb毕业证书)墨尔本大学毕业证Offer一模一样
原版制作(unimelb毕业证书)墨尔本大学毕业证Offer一模一样
 
Heap Sort (SS).ppt FOR ENGINEERING GRADUATES, BCA, MCA, MTECH, BSC STUDENTS
Heap Sort (SS).ppt FOR ENGINEERING GRADUATES, BCA, MCA, MTECH, BSC STUDENTSHeap Sort (SS).ppt FOR ENGINEERING GRADUATES, BCA, MCA, MTECH, BSC STUDENTS
Heap Sort (SS).ppt FOR ENGINEERING GRADUATES, BCA, MCA, MTECH, BSC STUDENTS
 
bank management system in java and mysql report1.pdf
bank management system in java and mysql report1.pdfbank management system in java and mysql report1.pdf
bank management system in java and mysql report1.pdf
 
Water billing management system project report.pdf
Water billing management system project report.pdfWater billing management system project report.pdf
Water billing management system project report.pdf
 
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&BDesign and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
 
一比一原版(UMich毕业证)密歇根大学|安娜堡分校毕业证成绩单专业办理
一比一原版(UMich毕业证)密歇根大学|安娜堡分校毕业证成绩单专业办理一比一原版(UMich毕业证)密歇根大学|安娜堡分校毕业证成绩单专业办理
一比一原版(UMich毕业证)密歇根大学|安娜堡分校毕业证成绩单专业办理
 
Nuclear Power Economics and Structuring 2024
Nuclear Power Economics and Structuring 2024Nuclear Power Economics and Structuring 2024
Nuclear Power Economics and Structuring 2024
 
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
在线办理(ANU毕业证书)澳洲国立大学毕业证录取通知书一模一样
 
Harnessing WebAssembly for Real-time Stateless Streaming Pipelines
Harnessing WebAssembly for Real-time Stateless Streaming PipelinesHarnessing WebAssembly for Real-time Stateless Streaming Pipelines
Harnessing WebAssembly for Real-time Stateless Streaming Pipelines
 
digital fundamental by Thomas L.floydl.pdf
digital fundamental by Thomas L.floydl.pdfdigital fundamental by Thomas L.floydl.pdf
digital fundamental by Thomas L.floydl.pdf
 
sieving analysis and results interpretation
sieving analysis and results interpretationsieving analysis and results interpretation
sieving analysis and results interpretation
 
一比一原版(IIT毕业证)伊利诺伊理工大学毕业证成绩单专业办理
一比一原版(IIT毕业证)伊利诺伊理工大学毕业证成绩单专业办理一比一原版(IIT毕业证)伊利诺伊理工大学毕业证成绩单专业办理
一比一原版(IIT毕业证)伊利诺伊理工大学毕业证成绩单专业办理
 
PROJECT FORMAT FOR EVS AMITY UNIVERSITY GWALIOR.ppt
PROJECT FORMAT FOR EVS AMITY UNIVERSITY GWALIOR.pptPROJECT FORMAT FOR EVS AMITY UNIVERSITY GWALIOR.ppt
PROJECT FORMAT FOR EVS AMITY UNIVERSITY GWALIOR.ppt
 
basic-wireline-operations-course-mahmoud-f-radwan.pdf
basic-wireline-operations-course-mahmoud-f-radwan.pdfbasic-wireline-operations-course-mahmoud-f-radwan.pdf
basic-wireline-operations-course-mahmoud-f-radwan.pdf
 
[JPP-1] - (JEE 3.0) - Kinematics 1D - 14th May..pdf
[JPP-1] - (JEE 3.0) - Kinematics 1D - 14th May..pdf[JPP-1] - (JEE 3.0) - Kinematics 1D - 14th May..pdf
[JPP-1] - (JEE 3.0) - Kinematics 1D - 14th May..pdf
 
Modelagem de um CSTR com reação endotermica.pdf
Modelagem de um CSTR com reação endotermica.pdfModelagem de um CSTR com reação endotermica.pdf
Modelagem de um CSTR com reação endotermica.pdf
 
TOP 10 B TECH COLLEGES IN JAIPUR 2024.pptx
TOP 10 B TECH COLLEGES IN JAIPUR 2024.pptxTOP 10 B TECH COLLEGES IN JAIPUR 2024.pptx
TOP 10 B TECH COLLEGES IN JAIPUR 2024.pptx
 

Introduction about APB Protocol

  • 2. Introduction  AMBA was introduced by ARM in 1996. The first AMBA buses were the Advanced System Bus (ASB) and the Advanced Peripheral Bus (APB).  The APB is part of the AMBA protocol family.  It provides a low-cost interface that is optimized for minimal power consumption and reduced interface complexity.  The APB interfaces to any peripherals that are low-bandwidth and do not require the high performance of a pipelined bus interface.  The APB has unpipelined protocol.  All signal transitions are only related to the rising edge of the clock to enable the integration of APB peripherals easily into any design flow.
  • 3. Signal Description:  PCLK – The rising edge of PCLK times all transfers on the APB.  PRESETn - The APB reset signal is active LOW. This signal is normally connected directly to the system bus reset signal.  PADDR - This is the APB address bus. It can be up to 32 bits wide and is driven by the peripheral bus bridge unit.  PSELx - The APB bridge unit generates this signal to each peripheral bus slave. It indicates that the slave device is selected and that a data transfer is required. There is a PSELx signal for each slave.  PENABLE -This signal indicates the second and subsequent cycles of an APB transfer.  PWRITE - This signal indicates an APB write access when HIGH and an APB read access when LOW.
  • 4. Signal Description:  PWDATA - This bus is driven by the peripheral bus bridge unit during write cycles when PWRITE is HIGH. This bus can be up to 32 bits wide.  PREADY - The slave uses this signal to extend an APB transfer.  PRDATA - The selected slave drives this bus during read cycles when PWRITE is LOW. This bus can be up to 32-bits wide.  PSLVERR - This signal indicates a transfer failure. APB peripherals are not required to support the PSLVERR pin. This is true for both existing and new APB peripheral designs. Where a peripheral does not include this pin then the appropriate input to the APB bridge is tied LOW.
  • 6. Write Transfers: With no wait states:  The write transfer starts with the address, write data, write signal and select signal all changing after the rising edge of the clock.  The first clock cycle of the transfer is called the Setup phase.  After the following clock edge the enable signal is asserted, PENABLE, and this indicates that the Access phase is taking place.
  • 7. Write Transfer:  The address, data and control signals all remain valid throughout the Access phase.  The transfer completes at the end of this cycle.  The enable signal, PENABLE, is deasserted at the end of the transfer.  The select signal, PSELx, also goes LOW unless the transfer is to be followed immediately by another transfer to the same peripheral.
  • 8. Write Transfer:  With wait state:  The PREADY signal from the slave can extend the transfer. During an Access phase, when PENABLE is HIGH, the transfer can be extended by driving PREADY LOW.  PREADY can take any value when PENABLE is LOW. This ensures that peripherals that have a fixed two cycle access can tie PREADY HIGH.
  • 9. Read Transfer:  With wait state:  The transfer is extended if PREADY is driven LOW during an Access phase.  The protocol ensures that the following remain unchanged for the additional cycles. PADDR, PWRITE , PSEL ,PENABLE. Figure shows that two cycles are added using the PREADY signal.  However, you can add any number of additional cycles, from zero upwards.
  • 10. Read Transfer:  With no wait state:
  • 11. Write followed by Read Transfer:
  • 12. Error Response:  You can use PSLVERR to indicate an error condition on an APB transfer. Error conditions can occur on both read and write transactions.  PSLVERR is only considered valid during the last cycle of an APB transfer, when PSEL, PENABLE, and PREADY are all HIGH. It is recommended, but not mandatory, that you drive PSLVERR LOW when it is not being sampled.  That is, when any of PSEL, PENABLE, or PREADY are LOW. Transactions that receive an error, might or might not have changed the state of the peripheral. This is peripheral-specific and either is acceptable.  When a write transaction receives an error this does not mean that the register within the peripheral has not been updated.  Read transactions that receive an error can return invalid data. There is no requirement for the peripheral to drive the data bus to all 0s for a read error. APB peripherals are not required to support the PSLVERR pin.  This is true for both existing and new APB peripheral designs. Where a peripheral does not include this pin then the appropriate input to the APB bridge is tied LOW.
  • 16. Advantages:  Low power  Simple interface  Suitable for many peripherals  Latched address and control
  • 17. Disadvantages:  Single master – limits parallelism  Scalability – performance suffers as bus as loaded